Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1875 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°6947359
Fantryt
Posté le 06-05-2009 à 17:58:38  profilanswer
 

Reprise du message précédent :
http://www.presence-pc.com/actuali [...] tor=RSS-11
 
La PRAM va enfin être une réalité
Samsung a annoncé qu’il allait commencer la production en masse de sa PRAM au mois de juin prochain.
          http://media.bestofmicro.com/PRAM- [...] -454-1.jpghttp://m.bestofmedia.com/i/presencepc/design/loupe.gif Zoom
PRAM
La PRAM ou Phase-Change RAM se nomme aussi PCM ou Chalcogenide RAM[C-RAM]). On parle aussi d’Ovonic Unified Memory en raison du chercheurqui a initialement œuvré pour cette technologie, Standford Ovshinsky.Il travaillait pour Energy Conversion Devices qui donna naissance àOvonix, la firme crée spécialement pour la conception de la PRAM. Cettemémoire utilise les propriétés du verre chalcogènide qui passe del’état cristallin à un état amorphe en fonction de la chaleur.Lorsqu’il est amorphe, le verre chalcogènide possède une très granderésistance électrique et représente la valeur 1 en langage binaire.L’état cristallin est l’exact opposé et représente la valeur 0. Pourconnaître l’état de chaque bit, un courant très faible etn’occasionnant que très peu de pertes énergétiques est envoyé pourdifférencier les résistances.
La PRAM pourrait remplacer la mémoire Flash
Cette mémoire gère 100 millions de cycles de lecture-écriture etpeut conserver des données pendant plus 10 ans. Elle est aussi trentefois plus rapides que de la mémoire flash, en théorie, car l’écritured’un bit ne demande pas que l’on efface le contenu de la cellule avantl’écriture de la nouvelle donnée.
La PRAM devient une réalité
Samsung avait annoncé l’année dernière qu’il commercialiserait cette mémoire en 2009 (cf. « Samsung : de la PRAM en 65 nm dès 2009»). On est encore loin de remplacer la mémoire flash, mais celapropulse la PRAM sur le devant de la scène. La fabrication de cettemémoire aura lieu dans les usines 200 mm du Coréen. Reste maintenant àvoir avec quelle rapidité cette mémoire sera intégrée dans les produitsgrand public qui utilisent aujourd’hui de la mémoire flash.

mood
Publicité
Posté le 06-05-2009 à 17:58:38  profilanswer
 

n°6957247
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-05-2009 à 23:58:22  profilanswer
 

128 milliards d'opérations par seconde
 
Fujitsu vient de présenter son tout nouveau processeur, le Venus, ou Sparc64 VIIIfx. Après 10 ans, la firme japonaise affirme retrouver la tête des concepteurs de processeurs, avec la puce la plus puissante du monde.
 
Ce CPU serait capable d'accomplir 128 milliards d'opérations à virgule flottante par seconde, une puissance de 128 Gflops. Gravée en 45 nm, elle réunit 8 cœurs, pour être 2,5 fois plus performante que la précédente puce Sparc 64 VII de Fujitsu, tout en consommant seulement un tiers de son énergie.
 
http://static.pcinpact.com/images/bd/news/70973-pc-watch-sparc64-viiifx.jpg http://static.pcinpact.com/images/bd/news/70974-pc-watch-sparc64-viiifx.jpg
 
Cette puce prendra place dans un premier supercalculateur japonais destiné au calcul de la météo, la simulation environnementale, la prédiction des tremblements de terre, ainsi qu'à la recherche médicale et chimique.
 
Ce supercalculateur réunira plusieurs dizaines de milliers de processeurs Sparc64 VIIfx. Sachant que le serveur FX1 JAXA, composé de puces Sparc64 VII à 40 Gflops, est déjà classé 17eme des supercalculateurs les plus puissants au monde, la prochaine bête composée de Sparc 64 VIIIfx risque de chambouler les premières places...
source : http://www.pcinpact.com/actu/news/ [...] rapide.htm
 
**************
 
Analyse info : http://translate.google.com/transl [...] 68480.html


Message édité par super_newbie_pro le 15-05-2009 à 10:25:36

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6963279
Fantryt
Posté le 19-05-2009 à 17:42:23  profilanswer
 

lol ... 128GFlops ... la HD4770 fait mieux à 100€ avec ses 960GFlops ...  :D  je sais on peut pas comparer CPU et GPU
 
Source : http://www.presence-pc.com/actuali [...] ies-34968/
 
GlobalFoundries veut produire de la Thyristor RAM
 
Après la CMox, parlons de la T-RAM. Cette mémoire à thyristor ne se place pas en concurrence directe puisqu'elle est une mémoire volatile, destinée à remplacer la SRAM aujourd'hui omniprésente dans les caches des processeurs. La T-RAM avance néanmoins des arguments similaires : meilleure densité, meilleure vitesse de lecture et bien meilleure vitesse d'écriture que la SRAM et intégration facile aux procédés de fabrication existants.
 
Cette mémoire a soulevé l'intérêt de GlobalFoundries, la filiale ayant récupéré les usines d'AMD. GlobalFoundries et T-RAM Semiconductor ont signé un accord de développement conjoint de la T-RAM afin de la fabriquer grâce aux procédés de gravure en 32 nm et 22 nm développés en ce moment par GlobalFoundries. L'idée est que GlobalFoundries pourra ensuite vendre cette technologie à ses clients, et notamment à AMD, qui pourra ainsi inclure des caches beaucoup plus importants et plus rapides dans ses CPU.
 
 
 
 
Bref, la SRAM, c'est dépassé, et complètement . Et AMD bénéficiera peut-être bientôt (G34 ?) d'un de ses successeurs .

n°6971700
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 26-05-2009 à 09:03:47  profilanswer
 

(05/22/2009 12:36 PM EDT)
 
LONDON — Researchers at the National Institute of Standards and Technology in the U.S have warned of a fundamental flaw in the understanding of transistor noise, and suggest that unless it is solved, it could stand in the way of developing more efficient, lower powered devices.
 
The researchers, led by Jason Campbell, came across the problem while studying the fluctuations between on-off states in progressively smaller transistors.
 
They claim that a widely accepted model explaining errors caused by electronic 'noise' in switches does not fit the facts. For decades, they say, the engineering community has largely accepted a theoretical model that identifies these defects and helps guide efforts to mitigate them.
 
The theory — or the elastic tunnelling model — predicts that as transistors shrink the noise frequency should get higher.
 
But Campbell and his colleagues at NIST, together with scientists from the University of Maryland College Park and Rutgers University, have now shown that even in nanometer sized transistors, the noise frequency remains the same.
 
"This implies that the theory explaining the effect must be wrong," Campbell said. "The model was a good working theory when transistors were large, but our observations clearly indicate that it's incorrect at the smaller nanoscale regimes where industry is headed," he added.
 
The problem has particular implications for low-power transistors, the researchers stress, as the fluctuations they have observed grow even more pronounced as the power decreased.
 
"This is a real bottleneck in our development of transistors for low-power applications. We have to understand the problem before we can fix itand troublingly, we dont know what is actually happening."
 
Campbell credits NIST colleague K.P. Cheung for first noticing the possibility of trouble with the theory.  
source : http://www.eetimes.com/news/latest [...] =217600659


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6979001
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 01-06-2009 à 13:03:28  profilanswer
 

Le 28nm, pas avant 2011
 
http://www.fudzilla.com/images/stories/2009/June/General%20News/28nm.jpg
 
We've managed to score a quite unique picture of 28nm wafer of Globalfoundries' test production of SRAM chips. The company also displayed 32nm Silicon on Insulator, a new process scheduled for 2010 that will be mainly used by AMD for its upcoming 32nm CPUs.
 
 
The company believes that 28nm is a very strategic node for Globalfoundries and potential customers for it are graphics and wireless people. You can imagine that Nvidia and ATI will be coming along with 28nm graphics chips.
 
The pictured wafer has many 28nm SRAM chips manufactured in Bulk production but it might be a while until Globalfoundries has them ready.
source : http://www.fudzilla.com/content/view/13968/1/
 
Industry still haven’t mastered 40nm chips and these things are still quite rare, the industry already talks about 28nm manufacturing process. As we said before this process will fit good for wireless and graphics chips and our sources have confirmed that you can expect 28nm chips to ship to market in early 2011.
 
 
The sources close to Globalfoundries have also confirmed that the company will be ready to take the customers orders in middle 2010 but the first chips won’t be out by early 2011.
 
The fact that the company has SRAM test chips showcased at Computex means that they are very serious to take the TSMC’s customers and try to win some money.
 
The “separation” of AMD and its manufacturing fabs will definitely put more pressure on TSMC and we definitely stand that competition is a great thing, and it will make lazy TSMC push harder to keep its existing customers.
source : http://www.fudzilla.com/content/view/13969/1/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6979503
marllt2
Posté le 01-06-2009 à 18:20:03  profilanswer
 

Et Theo de BSN qui disait "early 2010"... http://www.brightsideofnews.com/ne [...] dries.aspx

n°6985574
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 06-06-2009 à 00:20:04  profilanswer
 

TSMC avec IMEC sur le 22nm
 
European independent research center IMEC has recently signed a new research agreement with foundry giant TSMC in which both groups plan to collaborate on R&D for integrated circuits based on the 22nm process and beyond.
 
For some time, TSMC has been contemplating an internal solution to combat the effects of the slow economy and concluded that it will invest a significant amount of resources in the creation of a new R&D team that will be based at IMEC facilities in Belgium. This will allow TSMC to gain access to IMEC's state-of-the-art clean room infrastructure, which has been claimed to house the most advanced semiconductor manufacturing tools on the planet.
 
IMEC’s executive VP and chief operating officer Luc Van den hove stated, "This agreement with TSMC represents an extension of our long-term strategic and fruitful partnership. We are proud that TSMC joins forces with IMEC to strengthen their global R&D. This proves the industrial importance of our semiconductor scaling research. We are confident that IMEC's joint research model will contribute to TSMC's 'collaborate to innovate’ approach.”
 
Over the course of this year alone, TSMC plans to shell out $1.5 billion on capital expenditure and is prepared to invest some of its proprietary tech in an attempt to speed up consumer technology adoption. More specifically, it plans to expand its current global R&D headcount by more than thirty percent from its current 1200 employees.  
source : http://www.fudzilla.com/content/view/14087/1/
 
***********
   
"22 nanometers and beyond" Article complet ==> http://www.eetimes.com/news/latest [...] =217701736


Message édité par super_newbie_pro le 06-06-2009 à 00:22:14

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7007795
Fantryt
Posté le 23-06-2009 à 09:32:29  profilanswer
 

Intel repousse les limites de la lithographie par immersion
 
Source : http://www.presence-pc.com/actuali [...] -nm-35387/
 
Intel vient d’annoncer avoir réussi à graver en 15nm en utilisant un procédé de lithographie par immersion. Cette avancée pourrait repousser, encore une fois, l’introduction de la lithographie par ultraviolet extrême.
 
Des résultats prometteurs, mais pas de promesse pour le moment
 
Alors que beaucoup estimaient que la lithographie par immersion ne pourrait pas dépasser les 22nm, Intel a prouvé le contraire. Les premiers essais ont été réalisés en laboratoire. Il est donc encore impossible de parler de production de masse pour le moment.
Intel repousse les limites du possible
 
Néanmoins, le fait qu’Intel ait montré qu’il était possible, en modifiant certains mécanismes, d’utiliser la lithographie par immersion pour cette finesse de gravure, est non seulement une claque pour tous les sceptiques, mais c’est surtout une bonne chose pour le consommateur qui pourrait, si les recherches avancent dans le bon sens, profiter de puces en 15nm pour un prix qui se sera pas gonflé par l’achat de machine plus onéreuse que d'habitude, ce que demandera la lithographie par ultraviolet extrême.


Message édité par Fantryt le 23-06-2009 à 09:34:17
n°7008151
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 23-06-2009 à 14:31:45  profilanswer
 

éhéh vive la technologie !!


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7008282
Fantryt
Posté le 23-06-2009 à 15:48:54  profilanswer
 

A croire que cette damnée lithographie par ultraviolets extrême ne sera jamais utilisée ...  :D  
Déjà qu'elle était prévue à partir du 65nm ...
Seule la nanoélectronique pourra remplacer durablement le CMOS .

mood
Publicité
Posté le 23-06-2009 à 15:48:54  profilanswer
 

n°7009051
marllt2
Posté le 24-06-2009 à 02:28:40  profilanswer
 

Citation :

Si les recherches avancent dans le bon sens, on pourra profiter de puces en 15 nm pour un prix qui ne sera pas gonflé par l’achat de machines plus onéreuses que d'habitude, ce que demandera la lithographie par ultraviolet extrême


Genre les 32/22 nm sont bons marchés. :o  Et genre le coût de graver en 16nm est le même qu'en 65nm. [:yamusha]  
 
C'est pas du tout ce que dit HFR, et c'est drôle, puisque la source est aussi EE Times...
 
http://www.hardware.fr/news/8728/p [...] uteux.html
 


Message édité par marllt2 le 24-06-2009 à 02:31:07
n°7010138
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 24-06-2009 à 19:35:47  profilanswer
 

Une nouvelle génération de SSD plus fiables (avec un autre nom ?) en vue dés 2010 ?
 
***********
 
Petite révolution du domaine de l'informatique, la mémoire à changement de phase, aussi appelée PRAM pour Phase-change Random Access Memory ou PCM pour Phase Change Memory, se fait désirer. Alors que Samsung nous  promettait sa commercialisation ce mois-ci, ce dernier se contente finalement d'annoncer qu'il développe les spécifications de cette nouvelle génération de mémoire, conjointement avec  Numonyx, jeune alliance entre Intel et ST Micro.
 
Les deux spécialistes du semi-conducteur travaillent ainsi sur la standardisation de la PRAM, dans l'optique de produire des puces de mémoire compatibles, non seulement sur le plan logiciel mais aussi sur le plan matériel. Les deux fabricants se concentrent pour l'heure sur les usages mobiles de la PRAM répondant au Low Power Memory Device Standard du JEDEC, comprenant notamment des fonctions de gestion de l'énergie.
 
La mémoire à changement de phase combine pour rappel les avantages de la mémoire NOR ou NAND Flash à ceux d'autres technologies de RAM. Non volatile, elle est effectivement jusqu'à trente fois plus rapide que la mémoire flash conventionnelle tout en consommant deux fois moins d'énergie. Elle est en outre bien plus fiable puisqu'elle est validée pour 100 millions de cycles, contre seulement 10 000 pour la mémoire flash.
 
Cette annonce est enfin l'occasion pour Samsung de retarder discrètement la commercialisation des premières puces PCM, puisque les deux sociétés envisagent d'achever leur spécifications l'année prochaine.
source : http://www.clubic.com/actualite-28 [...] phase.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7018695
marllt2
Posté le 01-07-2009 à 01:24:38  profilanswer
 

TSMC announced the successful development of 28 nm : http://translate.google.com/transl [...] N&ie=UTF-8

n°7018697
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 01-07-2009 à 01:30:12  profilanswer
 

marllt2 a écrit :

TSMC announced the successful development of 28 nm : http://translate.google.com/transl [...] N&ie=UTF-8


 

Citation :

TSMC 18 announced the successful development of 28-nanometer technology, with two-time ╱ three gate oxide layer (dual / triple gate oxide) process, will be 32-nanometer process used in nitrogen oxide (Silicon Oxynitride, SiON) / multi - crystalline silicon (poly Si) material to extend to 28-nanometer process, the semiconductor can continue to promote the advanced process technologies. The process technology also includes high-density and low Vcc_min six transistor static random access memory (SRAM) devices, low-leakage transistors, has passed to verify the traditional analog RF ╱ ╱ electronic melting line (analog / RF / electrical fuse) components, low resistance - capacitance delay (low-RC), low dielectric copper (Cu-low-k interconnect).
 
At present, TSMC has successfully ╱ 28 nm dual gate oxide layer III system-on-chip technology to produce a 64Mb SRAM, very good yield. SRAM components the size of 0.127 square microns, a considerable competitive, chip gate density (raw gate density) per square millimeter of up to 3.9 million gates. In the SRAM Vcc_min, electronic melting line and the field of analog sufficient to demonstrate the outstanding performance of the manufacturing process technology for manufacturing (manufacturability).
 
The leading process technology at TSMC once again show low power, high-performance silicon oxide process using nitrogen ╱ polysilicon materials, so cost effective customer solutions and capabilities. (straining engineering) In this paper, by strained silicon (straining engineering) and the highly competitive best thickness of the oxide layer of silicon oxide material by nitrogen output transistors, with the previous generation 45-nanometer process technology more, not only when the pulse increased by 25 ~ 40%, operating power consumption by 30 ~ 50%, also has a low standby and low operating power consumption advantages.
 
TSMC vice president of research and development, said Dr. Sun Yuancheng, this progress is due to TSMC customers and close cooperation. Customers need to use 28-nanometer semiconductor technology to break through the application of new areas, and we keep on the path of innovation and excellence will contribute to innovation in the semiconductor industry by design the most advanced applications are implemented.


 
Moué... Reste à voir ce que ça donnera sur les rendements parceque les belles annonces à la 40nm comme on avait eu droit, avec les petits schémas tout jolis gentiment diffusés pour appuyer cette curieuse impression de soit disant avance fulgurante me laisse perplexe. On verra quand ils commenceront à produire des GPU en 28nm les rendements qu'ils obtiennent. D'ici là, TheGlobalFondery sera entré dans la partie !


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7018729
marllt2
Posté le 01-07-2009 à 04:10:21  profilanswer
 

IBM bosse aussi sur du 28nm à East Fishkill, là où étaient fabriqués les NV40 suite aux problèmes de TSMC sur le 130nm et la FX 5800.
 
http://www.presence-pc.com/actuali [...] IBM-35345/


Message édité par marllt2 le 01-07-2009 à 04:11:46
n°7035843
Profil sup​primé
Posté le 15-07-2009 à 13:33:30  answer
 

Vous en voulez de la techno informatique, commencez par cet historique => http://www.presence-pc.com/actuali [...] rames.html
Très instructif :)

n°7045575
Profil sup​primé
Posté le 22-07-2009 à 18:12:40  answer
 

EVGA qui veut instaurer une HotLine en Français pour aider à overclocker => http://www.nokytech.net/a_la_une.html :pt1cable:

n°7049386
marllt2
Posté le 25-07-2009 à 19:01:29  profilanswer
 

GF commence la construction de la fab 2: http://www.clubic.com/actualite-29 [...] 28-nm.html
 
On notera que la photo est à double-sens. :whistle:

n°7049431
Profil sup​primé
Posté le 25-07-2009 à 19:53:03  answer
 

C'est à dire :??:
Y'en a un qui creuse et l'autre qui rebouche [:ddr555]

n°7049438
marllt2
Posté le 25-07-2009 à 20:01:42  profilanswer
 


[:rofl]
 
Nan, en fait c'est que la photo montre des bulldozers, qui sont en train de bosser à la construction de l'usine qui fabriquera les AMD Bulldozer. [:brainbugs]


Message édité par marllt2 le 25-07-2009 à 20:02:33
n°7050121
warcox
RAM16
Posté le 26-07-2009 à 13:51:35  profilanswer
 

salut, felicitation a l auteur!!! j espere qu il n y aura pas de troll a la con!:/good job :jap:


---------------
RAM16
n°7076934
Fantryt
Posté le 17-08-2009 à 18:59:53  profilanswer
 

http://www.clubic.com/actualite-294104-nano-laser-spaser-mikhail-noginov-1-nm-terahertz.html
 
Résumé : une université américaine a trouvé le moyen de graver des puces à 1nm .

n°7077535
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 18-08-2009 à 12:38:03  profilanswer
 

Le futur mes amis, le futur :love:


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7084382
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 24-08-2009 à 13:21:41  profilanswer
 

Citation :

The new process is expected to start risk production by the end of Q1 2010, sooner than expected, for low-power chips, with high performance chips starting in Q2 2010. Production is expected to start by Q3 2010.


 
Communiqué de TSMC sur le 28nm :
 
Hsinchu, Taiwan, R.O.C. August 24, 2009- Taiwan Semiconductor Manufacturing Company Limited (TWSE: 2330, NYSE: TSM) today announced that it is adding a low power process to its 28nm high-k metal gate (HKMG) road map. The new process is expected to enter risk production in the third quarter of 2010.
 
TSMC’s 28nm development and ramp has remained on schedule since the company announced the technology in September 2008. The new process’ risk production follows the HKMG high performance (HP) process by one quarter and the low power (LP) silicon oxynitride (SiON) process by two quarters. Risk production for the 28nm low power (LP) SiON process is scheduled for the end of first quarter of 2010, while risk production for the 28nm HP process is expected at the end of second quarter, 2010.
 
The 28nmHPL (low power with HKMG) process is a derivative of TSMC’s high performance HKMG technology and features low power, low leakage, and medium-high performance on a gate-last approach. It supports low leakage applications such as cell phone, smart netbook, wireless communication and portable consumer electronics.
 
The 28nm HPL process comes complete with comprehensive device support and is considered suitable as a SoC platform for general market applications. It is differentiated from the 28LP technology, which is positioned for cellular and handheld applications where lower cost and faster time-to-market from an evolutionary SiON process is most attractive.
 
The 28nm HP process, announced as part of the September 2008 introduction, is also built on a gate-last approach and supports performance driven devices such as CPUs, GPUs, Chipsets, FPGAs, video game console and mobile computing applications.
 
“We developed a gate-last approach for TSMC’s 28nm high-k metal gate family that is superior in terms of transistor characteristics, high end and low end performance upside, and manufacturability,” said Dr. Jack Sun, vice president, Research and Development, TSMC.
 
“TSMC has been working with customers over a significant period of time to develop high-k metal gate technologies for low power applications. The addition of the 28nm HPL to the 28nm technology family, combined with the 28LP and 28HP, means that TSMC now provides the most comprehensive 28nm technology portfolio,” said Dr. Mark Liu, senior vice president, Advanced Technology Business, TSMC.
 
To fully utilize the power of the 28nm technology family for a broad range of differentiating products, TSMC is working closely with customers and ecosystem partners to build a comprehensive design infrastructure based on the company’s recently unveiled Open Innovation Platform™. The Open Innovation Platform™, hosted by TSMC, is open to TSMC customers and partners.
 
About TSMC
TSMC is the world’s largest dedicated semiconductor foundry, providing the industry’s leading process technology and the foundry’s largest portfolio of process-proven libraries, IP, design tools and reference flows. The Company’s total managed capacity in 2008 exceeded 9 million 8-inch equivalent wafers, including capacity from two advanced 12-inch - GigaFabs™, four eight-inch fabs, one six-inch fab, as well as TSMC’s wholly owned subsidiaries, WaferTech and TSMC (China), and its joint venture fab, SSMC. TSMC is the first foundry to provide 40nm production capabilities. Its corporate headquarters are in Hsinchu, Taiwan. For more information about TSMC please visit http://www.tsmc.com.


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7084392
Profil sup​primé
Posté le 24-08-2009 à 13:30:58  answer
 
n°7091127
Fantryt
Posté le 28-08-2009 à 23:34:22  profilanswer
 

Petit rappel pour le 4nm :
http://www.pcinpact.com/actu/news/ [...] -15-nm.htm
Bref, cette roadmap ne sera respectée que s'il n'y a pas de problème majeur .
 
Au fait, j'ai une petite question : sur la roadmap, le procédé qui va succéder au 22nm est le 16nm, mais en fin de news, ils disent 15nm ! J'y comprends plus rien ... quelqu'un pour m'éclairer ?

n°7091264
marllt2
Posté le 29-08-2009 à 03:20:43  profilanswer
 

Une "roadmap" actionnario-marketing, comme les 10 Ghz du Netburst donc.
 
Ensuite le newser se fourvoie, puisque la roadmap officielle va jusqu'en 2013 avec du 16nm.
 
 
edit: je ne sais pas si c'est déjà passé: http://www.tsmc.com/download/engli [...] nology.pdf
 
http://img56.imageshack.us/img56/7471/tsmc28.jpg


Message édité par marllt2 le 29-08-2009 à 04:18:25
n°7092409
*Dgeof*
Posté le 30-08-2009 à 10:04:55  profilanswer
 

Bonjour,
 
tout d'abord merci à super_newbie_pro pour ce topic! Je n'étais pas revenu sur ce forum depuis qques mois et je retrouve quelques sujets vraiment intéressants.
Pour moi l'avenir est aux Nanotubes de Carbone. Leur conductivité électrique est la plus élevée de tous les matériaux existants sur cette planète. Ce qui veut dire qu'on va pouvoir fabriquer des processeurs plus efficient et moins gourmands en énergie, mais également plus rapide! Ok vous allez me dire que ce n'est pas pour demain mais quand même, dans les 10 ans je pense qu'on y sera (et puis ce topic est fait pour les années à venir également!!)
Pour ceux qui sont intéressés, voici un lien vers un fabriquant européen de Nanotubes de Carbone, le but n'étant pas de leur faire de la pub mais plutôt car leur site est très complet et décrit vraiment bien le sujet.
 
http://www.nanocyl.com/en/CNT-Expe [...] -Nanotubes
 
@+ et bonne lecture pour ceux que ça intéresse comme moi!!

n°7093291
Fantryt
Posté le 30-08-2009 à 22:30:23  profilanswer
 

Actuellement, les nanotubes de carbone sont encore très difficiles à produire, donc leur utilisation massive relève de l'impossible maintenant et dans les années à venir (2-3 ans). Et puis, lorsque les technologies qui permettent aujourd'hui de graver les CPU auront atteint leurs limites physiques, les fondeurs se tourneront plutôt vers la nanoélectronique, et j'ignore si ces nanotubes de carbone en font partie . On est encore loin d'avoir découvert tous les nanomatériaux intéressants .

n°7102167
Zack38
Posté le 05-09-2009 à 22:38:40  profilanswer
 

[:shadowdim]

n°7103643
Zack38
Posté le 07-09-2009 à 11:07:06  profilanswer
 

http://www.pcworld.fr/2009/09/07/m [...] es/446001/
 

Citation :

Ca bouge du côté des fondeurs...
 
La fonderie indépendante Chartered va probablement être rachetée par ATIC, qui possède déjà GlobalFoundries...
 
Voilà un peu moins d'un an, AMD annonçait la scission de ses activités de fondeur, et la création de GlobalFoundries, en partenariat avec la société d'investissement ATIC. L'Advanced Technology Investment Company est basée à Abu Dhabi, aux Émirats arabes unis.
 
Hier, en début de soirée, la société d'investissement a lancé une offre de rachat d'une autre fonderie, Chartered. Basée à Singapour, elle fait partie des cinq plus grosses fonderies indépendantes, et est généralement en compétition avec les taiwanais TSMC et UMC. Du côté des clients, on retrouve AMD, mais aussi IBM, Infineon, Samsung ou encore Agere.
 
La fusion représente une très bonne affaire pour les deux parties. GlobalFoundries récupère des brevets, des processus de fabrication plus anciens que ceux dont il dispose actuellement, une infrastructure, mais aussi de nombreux clients ! L'offre atteint 3.9 milliards de dollars, dont 1.8 milliards de dollars en liquidité, le reste étant des dettes et des parts de la société. Les actionnaires font une bonne affaire puisque cela représente un bonus de 14.2 % par rapport au cours de  Chartered sur les 30 derniers jours.
 
Une fois l'affaire conclue, Doug Grose le président (Chief Executing Officer) de GlobalFoundries conservera son poste à la tête de la nouvelle entité, tandis que l'ancien président de Chartered, Chia Song Hwee, occupera le poste de COO (Chief Operating Officer). En 2010, TSMC aura donc fort à faire pour conserver sa position de leader des fonderies indépendantes...


Message édité par Zack38 le 07-09-2009 à 11:07:29
n°7105743
Zack38
Posté le 08-09-2009 à 21:41:18  profilanswer
 

http://www.presence-pc.com/actuali [...] que-36323/
 

Citation :

Des chercheurs de l'université du Michigan ont réussi à produire un processeur à... air. Ils utilisent en effet un flux d'air comme base pour la logique binaire, en lieu et place du courant électrique utilisé actuellement dans les transistors. Le système, à base de valves pneumatiques, permet de créer des processeurs qui peuvent fonctionner en 8 bits (ce qui est loin des standards actuels) et les chercheurs indiquent que même si la première version est lente, il serait possible d'accélérer le système assez facilement.  
 
Même si l'intérêt peut sembler limité une fois qu'on sort du domaine de la mécanique des fluides, ce type d'idée permet de montrer qu'il est possible de trouver d'autres paradigmes de fonctionnement que ceux utilisés actuellement. De plus, ils indiquent aussi que ce type de processeur peut fonctionner à la force du poignet — en pompant comme les Shadoks — ce qui est un avantage dans les pays en voie de développement (par exemple).


 
On va finir par devoir pomper pour alimenter nos PC  :sweat:   :whistle:

n°7116643
Zack38
Posté le 15-09-2009 à 17:45:23  profilanswer
 

http://www.presence-pc.com/actuali [...] ube-36400/
 

Citation :

Des circuits électroniques qui cicatrisent !
 
Des circuits électroniques qui se réparent et cicatrisent tout seuls : un rêve qui pourrait bientôt devenir réalité ? C’est en tout cas ce sur quoi travaillent des chercheurs à l'université de l'Illinois à Urbana-Champaign. Jeffrey Moore, à qui l’on doit déjà une résine capable de cicatriser des petites fissures afin de restaurer les propriétés mécaniques d’un matériau, vient de publier les résultats d’une expérience portant cette fois-ci sur l’auto-réparation d’un conducteur électrique.
 
En utilisant des micro-capsules en polymère contenant des nanotubes de carbone, il devient en effet possible de réparer un fil métallique sectionné, simplement en secouant l’appareillage pour briser les capsules. Une fois les nanotubes de carbone libérés, ces derniers vont d’eux-mêmes venir s’agglutiner à l’endroit où le fil conducteur a été sectionné, rétablissant ainsi le passage du courant. En pratique, cette « poudre » pourrait permettre de réparer les connecteurs de la batterie d’un ordinateur ou d’un appareil mobile, avant qu’un court-circuit ne se produise…


Message édité par Zack38 le 15-09-2009 à 17:46:12
n°7121754
Zack38
Posté le 18-09-2009 à 18:34:53  profilanswer
 

http://www.clubic.com/actualite-30 [...] emain.html
 

Citation :

Le MIT se penche sur le processeur de demain
 
A quoi ressemblera le processeur de demain ? Voilà la question que tentent de résoudre des chercheurs du MIT. Depuis des années, les fabricants se concentrent sur la finesse de gravure. Cette dernière permet en effet, non seulement de diminuer les coûts de fabrication mais surtout de réduire la chaleur dégagée et donc la consommation du processeur. Il est ainsi possible d'en augmenter la fréquence.
 
Le leader du marché Intel prévoit d'introduire ses premiers processeurs gravés en 32 nanomètres en janvier prochain pour passer, aux alentours de 2012, au 22 nm. Mais où s'arrêtera-t-on ? Tomas Palacios, assistant chercheur au département des sciences informatiques du MIT, explique ainsi : « nous ne serons pas éternellement capables d'améliorer les performances de la puce en réduisant sa gravure ».
 
Cette équipe de scientifiques a ainsi appliqué une couche de nitrure de gallium au sein du sustrat de silicium. La combinaison de ces deux matériaux produirait des puces hybrides non seulement plus rapides mais optimiserait leur taux de consommation. De plus cette technique pourra être employée directement au sein de la chaine de production actuelle des fabricants.
 
M. Palacios estime que ces puces hybrides pourront être mises en circulation d'ici deux ans. « Nous sommes déjà en discussion avec des sociétés pour déterminer la manière dont cette technologie sera commercialisée et pour fabriquer des circuits plus complexes », ajoute-t-il.


 
PS : Pourquoi suis-je le seul à poster dans ce topic ?  :sweat:


Message édité par Zack38 le 18-09-2009 à 18:35:06
n°7121756
Profil sup​primé
Posté le 18-09-2009 à 18:36:43  answer
 

Perso., je suis les news alors j'ai déjà lu bien souvent ce que tu postes :d

n°7121761
Yoyo_5
Posté le 18-09-2009 à 18:39:44  profilanswer
 


Et tu ne fais pas partager...? :o
Rapace...! :p


---------------
¤ Paix et félicité ¤

n°7121764
Profil sup​primé
Posté le 18-09-2009 à 18:41:43  answer
 

Ça passe car c'est dredi mais que je t'y reprennes + :o

n°7121866
Profil sup​primé
Posté le 18-09-2009 à 19:45:54  answer
 

:d

n°7122503
Zack38
Posté le 19-09-2009 à 11:48:12  profilanswer
 

http://www.futura-sciences.com/fr/ [...] bre_20385/
 

Citation :

La première puce quantique à photons a factorisé un nombre
 
Factoriser un nombre à l’aide d’un ordinateur quantique n’est pas nouveau. Effectuer cette opération à l’aide de photons non plus... Ce qui l’est, c’est de le faire avec un dispositif semblable à des puces électroniques. Sous réserve que l’on parvienne un jour à surmonter l’obstacle de la décohérence, cette réalisation d’une équipe de chercheurs britanniques ouvre une nouvelle voie vers des ordinateurs quantiques puissants.
 
Prenez un ordinateur classique tel qu’il a été conçu par Von Neumann et dont la fréquence de calcul des processeurs est de l’ordre du térahertz (THz). Cherchez à factoriser en un produit de nombres premiers un nombre d’environ 300 chiffres en faisant plancher l'ordinateur grâce à un algorithme classique de factorisation. Combien de temps faudra-t-il pour obtenir le résultat ?
 
Pas loin de 150.000 ans... On comprend aisément pourquoi la cryptographie emploie souvent comme clé des grands nombres qui se décomposent en produit de deux nombres premiers.  
 
Maintenant, supposons que l’on dispose d’un ordinateur quantique manipulant non plus des informations sous forme de bits mais sous forme de qubits. Un ordinateur quantique utilise le principe de la superposition des états quantiques, permettant à une particule d’être par exemple dans plusieurs endroits simultanément, pour effectuer des sortes de calculs en parallèle. Le grand physicien Richard Feynman a été l’un des premiers à théoriser le fonctionnement d’un tel ordinateur.  
 
En 1995 Peter Shor a trouvé un algorithme fonctionnant sur un ordinateur quantique et décomposant un nombre en ses facteurs premiers. Posons-nous la même question que pour un ordinateur classique. Quelle sera alors la réponse ?  
 
Moins de 1 seconde ! Le scénariste de Transformers connaissait visiblement ce résultat car lorsque que les codes d’accès aux ordinateurs de la défense américaine sont cassés en quelques secondes l’un des personnages du film soupçonne que l'auteur de cette prouesse doit nécessairement disposer d’un ordinateur quantique.  
 
A nouveau, on comprend facilement pourquoi depuis une dizaine d’années, la course à la réalisation d’un ordinateur quantique et les travaux sur l’information quantique se multiplient. C’est une sorte de nouveau Graal de la physique et certains voient dans l’ordinateur quantique et plus généralement la notion d’information quantique la possible clé du fonctionnement du cerveau humain voire de la vie.  
 
Pour le moment, seuls ont vu le jour des ordinateurs quantiques que la machine à calculer de Pascal surpasse aisément... Les obstacles à la réalisation d’un ordinateur quantique de puissance comparable aux micros actuels sont tellement formidables qu’il est fort possible que jamais les ordinateurs quantiques ne dépassent le stade de curiosité de laboratoire.  
 
En effet, plus un ordinateur quantique a de la puissance de calcul plus il doit être « gros » par rapport à l’échelle du monde quantique. Rapidement, il frôle celle du monde classique, et surtout, l’effet de la décohérence se fait sentir. Les propriétés miraculeuses de la superposition quantique, qui permettrait, si elle s’appliquait à notre échelle, à la Lune d’être en différents endroits à la fois ou au chat de Schrödinger d’être à la fois mort et vivant, disparaissent avant qu’un calcul quantique portant sur une information de grand volume puisse se produire complètement.  
 
Il ne faut pas oublier non plus que si les ordinateurs quantiques se révèlent supérieurs aux ordinateurs classiques pour certains calculs, ils ne le sont pas pour tous. Néanmoins, malgré les obstacles, des dizaines de laboratoires sur la planète construisent des mini-ordinateurs quantiques avec différents types de particules, comme des ions piégés ou encore des photons.
 
Une puce quantique
 
Il y a plus d’un an, des chercheurs avaient déjà réussi à utiliser des photons pour effectuer un « puissant » calcul quantique démontrant que 15 était le produit de 3 par 5. Le dispositif employé était assez gros mais une équipe de l’université de Bristol vient d’effectuer une percée en réalisant une véritable puce optique fonctionnant selon le principe d’un ordinateur quantique.  
 
Les chercheurs britanniques menés par Jeremy O'Brien avaient déjà réussi en 2003, avec l’aide de collègues australiens, à créer l’équivalent d’une porte logique de l’électronique classique dans le cadre quantique. Ils avaient ainsi fabriqué une porte dite CNOT pour controlled NOT en anglais. Comme les portes logiques élémentaires de l’électronique classique, il s’agit d’un élément fondamental pour la construction d’un ordinateur quantique. A l’époque sa réalisation nécessitait des miroirs et des séparateurs de faisceaux optiques. Elle prenait donc la place d’une table de laboratoire.  
 
O’Brien et ses collègues sont maintenant allés beaucoup plus loin car ce sont des centaines de portes CNOT utilisant des photons qui ont été miniaturisées et occupent maintenant une puce en silicium d’un millimètre de côté. Sur cette dernière se trouvent ainsi plusieurs guides d’ondes pour les photons dont la taille ne dépasse pas le micron. C’est ainsi que 4 photons ont suffi pour obtenir des qubits d’informations et qu’un calcul reproduisant l’algorithme de Shor a pu être réalisé.  
 
Les détails de cette performance ont été publiés dans Science. Si la fabrication à grande échelle de telles puces semble facile, on ne sait toujours pas si l’obstacle de la décohérence peut être surmonté et on peut très bien imaginer que les puces classiques seront toujours bien supérieures à ces puces quantiques. Mais dans le cas contraire, et si l’esprit est réductible à du calcul, qu’il soit classique ou quantique, peut-être est-on là en présence de l’embryon des circuits électroniques équipant le robot de Terminator. La réalité rattrapera-t-elle la fiction ? On en saura probablement plus d’ici quelques dizaines d’années...


 
Je parie que si beaucoup d'entre ceux qui suivent ce topic connaissaient déjà les processeurs optique et quantique, ils n'avaient jamais imaginer qu'on pouvait les regrouper en un seul processeur ...  :)

n°7122572
Profil sup​primé
Posté le 19-09-2009 à 12:43:06  answer
 

pas mal, surtout pour l utilisation des differents etats quantiques pour les calculs...
 
 
c est ose... :jap:

n°7129250
Zack38
Posté le 23-09-2009 à 12:30:26  profilanswer
 

Bon, alors, voilà, vous connaissez tous la puce Hydra de Lucid Technology, petite firme inconnue dans laquelle Intel investit énormément d'argent, et non pas sans raison : la puce Hydra devrait permettre de faire un "CrossSLI", autrement dit de combiner 2 cartes graphiques quelle que soit leur origine (comme une Radeon et une GeForce) en augmentant les performances de façon linéaire .
Depuis peu, on sait que la MB Big-Bang de MSI intègrera une puce Hydra . Mais, mauvaises langues oblige, certains ont immédiatement rappliqué en disant que ce n'était qu'un contrôleur PCI-Express supplémentaire tout ce qu'il y a de plus banal . Un nForce 200 like, quoi . Hé bien, ils avaient tout faux !
 
http://www.pcinpact.com/actu/news/ [...] -mixte.htm
 
A son IDF, l'équipe de PCI a pu voir en action la fameuse Big-Bang de MSI . Et, d'après eux, elle peut effectivement faire fonctionner une Radeon et une GeForce en même temps, sur des applications OpenGL ou Direct3D . Apparemment, ce mode hybride sera disponible pour les possesseurs de la Big-Bang via une MàJ de pilotes . D'autres annonces concernant la puce Hydra devraient également être faites ultérieurement, sans plus de précisions .
 
Comment vont réagir ATi et nVidia à cet affront, qui est de combiner leurs solutions multi-GPU propriétaires respectives ?
Affaire à suivre !

mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR