Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1512 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°7472084
Zack38
Posté le 17-06-2010 à 18:30:29  profilanswer
 

Reprise du message précédent :
IBM, GlobalFoundries et le 28 nm
 

Citation :

La Design Automation Conference 2010 a été l’occasion pour GlobalFoundries de présenter ses nouveaux processus de gravure en 32 et 28 nm HKMG. Fruits d’un partenariat entre le fondeur et IBM, Samsung Electronics, ARM ou encore Synopsys, ces procédés de gravure viennent prendre la relève de l’actuelle 45 nm. Si la gravure en 32 nm est véritablement un nouveau process, le 28 nm n’est en réalité qu’une extension du 32 nm. En pratique, cela signifie que le passage du 32 nm vers le 28 nm ne nécessitera pas de restructuration profonde des outils, ce qui permettra de réduire les coûts ainsi que les délais de migration.
 
Dix circuits de test en 32 nm et 28 nm ont été réalisés, tous ont passé les tests physiques. Une fois les problèmes techniques résolus, une gravure plus fine permet pour rappel de réduire la consommation et d’améliorer les performances des puces. La gravure en 32 nm low-power HKMG est actuellement en cours de validation par Samsung. Pour le 28 nm low-power HKMG, il faudra attendre le premier trimestre 2011…


 
Source : http://www.presence-pc.com/actualite/28nm-32nm-39718/

mood
Publicité
Posté le 17-06-2010 à 18:30:29  profilanswer
 

n°7476502
Zack38
Posté le 23-06-2010 à 10:54:06  profilanswer
 

Un CPU à 200 cores pour 2013
 

Citation :

Tilera vient d’annoncer un partenariat avec Quanta pour l’utilisation de ses processeurs qui totalisent 10 000 cores dans un rack 2U (S2Q) consommant moins de 8 kW. Le fabricant parle aussi de CPU à 200 cores d’ici trois ans.
 
Des processeurs pour serveurs web
 
La firme a commencé à se faire remarquer lorsqu’elle a présenté son processeur équipé de 64 cores, le Tile64. Il est déjà utilisé par Quanta qui combine 512 cores sur un rack 2U qui consomme moins de 400 W. La firme s’est ainsi montrée suffisamment séduisante pour attirer des grands noms comme SGI. Deux ans plus tard, elle sortit le Tile Gx contenant 100 cores et consommant 55 W.
 
De 64 cores à 200 cores
 
La firme continue donc sur sa lancée en proposant de nouvelles solutions solidifiant son catalogue tourné vers les serveurs web et les clouds. Quanta va utiliser, dans ses S2Q, huit nœuds de TilePro64 qui intègrent chacun 64 cores RISC. Le CPU dispose d'une bande passante totale de 176 Gbit/s. Le processeur intègre le contrôleur mémoire qui peut gérer jusqu’à 64 slots DIIMM et une partie du chipset afin d'assurer la gestion de 24 supports de stockage. Chaque core dispose d’un cache L1 et L2 en plus d’une mémoire tampon de troisième niveau.
 
Tilera devrait sortir un modèle passant de 64 cores à 100 cores grâce à l’utilisation d’une finesse de gravure de 40 nm, tandis que 2013 devrait voir l’apparition de 200 cores.


 
Source : http://www.presence-pc.com/actualite/Tile64Pro-39790/

n°7478296
Zack38
Posté le 25-06-2010 à 11:07:25  profilanswer
 

La lithographie EUV chez TSMC en 2011
 

Citation :

TSMC vient d’annoncer que les premiers systèmes lithographiques à ultraviolet extrême (EUV) en provenance de l’équipementier ASML seront livrés en 2011.
 
L’EUV pour le 20 nm
 
Le Taïwanais utiliserait l’EUV pour graver en 20 nm, le fondeur ayant affirmé vouloir sauter le 22 nm. Il parle aussi d’une utilisation en masse de cette technologie pour 2013. Pour rappel, ce procédé lithographique focalise un rayon ultraviolet extrême d’une longueur d’onde se situant entre 10 nm et 15 nm. Au lieu d’utiliser des optiques, on va faire appel à des miroirs rétrécissant le rayon.
 
Il reste un long chemin à faire
 
Cette technologie est très prometteuse et devrait permettre aux fondeurs de dépasser les 22 nm. Elle est aussi très jeune, ce qui signifie que les yields sont encore faibles, ce qui explique le délai de deux ans avant la commercialisation de puces utilisant ce processus de fabrication. TSMC vise la production de 100 wafers par heure. Les machines d’ASML seront installées dans la Fab 12, une usine utilisant des galettes de 300 mm.


 
Source : http://www.presence-pc.com/actuali [...] hie-39827/


Message édité par Zack38 le 25-06-2010 à 11:07:35
n°7497187
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 15-07-2010 à 06:29:46  profilanswer
 

Global Foundries goes to EUV litho at 15nm
 
THE MOST IMPORTANT announcement from SemiCon West was a subtle statement from Global Foundries about tools. Putting some bits together, it is pretty clear that the company is going to use EUV lithography at the 15nm node.
 
Greg Bartlett, Senior VP, Process Technology and Research & Development at Global Foundries said as much in several off handed statements. The first was that the company will be putting in EUV litho tools as soon as the Fab 8 building in New York can take equipment. That would be 2H 2012 or so, coincidentally about the time that ASML starts delivering their production EUV tools.
 
Because of AMD and GloFo's early work with the Alpha EUV tools, they can skip a lot of the pre-production parts and go directly to the production ramp. The next thing Mr. Bartlett said was that production with EUV would happen during 2015. Since GloFo will be making 32/28nm parts in late 2010 or early 2011, that would put 22nm two years out, and 15nm two years after that.
 
2011 + 4 = 2015. Imagine that coincidence. The next question is what diameter the wafers will be, but that part was not mentioned, directly or not. In any case, all those debates about EUV's readiness were just ended. Officially. source ; http://www.semiaccurate.com/2010/0 [...] itho-15nm/
 
***************
 
Globalfoundries Set to Use EUV Lithography for 20nm/22nm Process Technologies.

 
In a keynote address today at Semicon West, senior vice president of technology and research and development at Globalfoundries, revealed details of the company’s plans to drive Extreme Ultraviolet (EUV) lithography to high-volume production. The company intends to start using EUV commercially in 2014 – 2015 when it starts to produce chips using 22nm and 20nm process technologies. Regrettably, the plan may have negative consequences for Globalfoundries' main partner AMD.
Globalfoundries: Only EUV for 22nm and Below
 
Thanks to Globalfoundries’ collaboration with the so-called IBM’s fab club, the company can skip usage of pre-production manufacturing tools for and install the production EUV tools into Fab 12 sometime in 2012 in order to initiate design of new process technologies. A move seems to be quite risky, but with the support from IBM and other partners within the alliance, the company may not face many troubles.
 
 “Our strategy is to move past the pre-production tool step and straight to purchasing a production-level tool for installation in Fab 8 – our new leading-edge fab currently under construction in upstate New York. We are planning to install this tool in the second half of 2012 so we can immediately begin the development work to enable volume production by the 2014/2015 timeframe. It is our collaborative approach to R&D that has put us in a position to make such a move, a move that will accelerate the charge to volume production for the entire industry,” said Gregg Bartlett from Globalfoundries.
 
Globalfoundries claims that it intentionally decided not to use immersion lithography with 20nm and 22nm process technologies because that would bring the costs up. Nevertheless, it looks like there will be a trade-off: the delay of mass production of chips using the very sophisticated process technologies.
 
“We can take what we learned with immersion and apply it to ramping EUV to high volume. From our perspective, we see immersion lithography getting us through the 22/20nm node, but not without some serious cost challenges and added complexity. We need another solution, and in our view EUV is the most promising candidate,” explained Mr. Bartlett.
 
Initially, Globalfoundries planned to start production of chips using 28nm process technology at Fab 2/Fab 8 when it becomes operational in 2012. The company intended to quickly transition the fab to 22nm fabrication process after that. However, the announcement made on Wednesday clarifies the plan pretty tangibly: Globalfoundries will, in the best case scenario, start volume production of chips using 22nm process technology only in 2014.
Intel and TSMC Stick to Immersion as AMD May Get into Trouble
 
By contrast, Intel Corp., the leading maker of semiconductors, does not reportedly plan to use EUV lithography for 22nm fabrication process and it is highly likely that it will start to manufacture 22nm chips in volume sometimes in 2012. Moreover, Taiwan Semiconductor Manufacturing Company, the world’s largest contract maker of chips, also does not seem to tie its 20nm process technology (due in 2013 for mass production) and extreme ultraviolet lithography (due sometimes in 2013 - 2014).
 
Both Advanced Micro Devices and Globalfoundries have been investing heavily in driving collaborative research and development for EUV for many years.
 
“We were one of the early founding members of EUV LLC, and our researchers have been behind several important milestones in EUV research, including the production of the first full-field EUV test chip. We are committed to continuing this leadership and helping drive the industry to volume production with EUV,” said Mr. Bartlett.
 
But the decision to stick with EUV may play a bad joke with AMD and other partners of Globalfoundries. AMD needs a new process technology for central processing units and graphics processing units every two years; it is very likely that AMD and its ATI units hoped for 22nm mass production in 2013, not 2014 or even 2015. It does not seem like Globalfoundries has 28nm SHP/SOI process technology in the roadmap, which is why AMD will have to rely on 32nm SOI fabrication process from 2011 to 2014. source ; http://www.xbitlabs.com/news/other [...] ogies.html


Message édité par super_newbie_pro le 15-07-2010 à 06:49:41

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7500095
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 18-07-2010 à 13:55:02  profilanswer
 

La dernière news est fausse.
GF grave déja des wafers de test en 22nm, sans EUV.
L'EUV ne sera utilisé que sous les 20nm.

n°7502121
redratamd
Xtrem rien du tout
Posté le 20-07-2010 à 16:48:22  profilanswer
 

Drapal obligé. :jap:


---------------
Hardware addict et collectionneur
n°7535817
Profil sup​primé
Posté le 16-08-2010 à 13:08:29  answer
 
n°7538191
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 18-08-2010 à 12:24:12  profilanswer
 
n°7545274
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 24-08-2010 à 01:45:46  profilanswer
 

Un nouveau caloduc ayant une capacité calorifique de 550W par centimètre carré, soit dix fois le caloduc classique !
 
Faut dire merci à la DAPRA, une fois n'est pas coutume, pour avoir subventionner cette recherche.
 
LIEN (Chinois/Français), LIEN (English)

n°7546646
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 25-08-2010 à 08:34:30  profilanswer
 

Gravure à moins de 20nm en vue :

Citation :

Applied Materials, un équipementier, vient d’annoncer avoir développé une technologie permettant d’isoler électriquement des transistors gravés en 20 nm et moins en déposant un film de haute qualité.
 
Le principe est simple, mais la firme ne donne que très peu de détail. Le film liquide s’adapte à n'importe quelle structure afin de remplir les vides qui peuvent exister entre les composants. Le matériau serait relativement bon marché selon la société et le procédé de fabrication devrait avant tout être avantageux pour les fabricants de mémoire. C’est aussi une étape importante pour la survie des conjectures de Moore, puisque cela permet de miniaturiser les transistors et accroitre la profondeurs à laquelle on peut graver le wafer.

source ; http://www.presence-pc.com/actualite/transistor-40442/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
mood
Publicité
Posté le 25-08-2010 à 08:34:30  profilanswer
 

n°7558524
Profil sup​primé
Posté le 02-09-2010 à 00:16:13  answer
 

Le bug en chair & en os circuits, laser & plastique => http://www.youtube.com/watch?v=TTC [...] embedded#! [:4lkaline]

n°7558920
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 02-09-2010 à 12:41:24  profilanswer
 

Globalfoundries Adds Another 28nm Fabrication Process to Roadmap

Citation :

The new technology will address the growing market for smart mobile devices and high-performance processors requiring more than 2GHz of processing power. The 28nm high-performance plus (HPP) technology provides a performance boost of as much as 10% over the company’s current 28nm HP offering, and offers optional ultra-low leakage transistors and SRAMs that extend the application range from high performance into the low power range. Globalfoundries plans to begin risk production using 28 HPP in Q4 2011.


n°7563226
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 05-09-2010 à 17:47:55  profilanswer
 

GlobalFoundries : le 22/20nm pour fin 2012
 
Le fondeur GlobalFoundries vient de dévoiler quelques détails concernant le développement de ses procédés de gravure, à savoir l’arrivée d’un nouveau procédé de gravure 28 nm HKMG (High-K Metal Gate) et le développement des gravures en 22/20 nm.
28 nm, 22 nm et 20 nm
 
La technologie 28nm HPP (pour High Performance-Plus) vient donc rejoindre les actuelles technologies 28 nm HP et 28 nm SLP (produits mobiles et embarqués orientés basse consommation). Avec des performances supérieures de 10% à celles permises par le 28 nm HP, le 28 nm HPP est principalement destiné au marché des appareils mobiles requérant de la puissance brute (par exemple des processeurs avec une fréquence de plus de 2 GHz). Les premières puces gravées en 28 nm HPP devraient sortir des usines au cours du quatrième trimestre 2011.
 
GlobalFoundries travaille également sur les technologies de gravure en 22/20 nm. Plusieurs procédés devraient ainsi faire leur apparition : une technologie 20 nm HP (High-Performance) destinée aux processeurs pour serveurs et applications multimédia, et une technologie 20 nm SLP pour le marché des appareils mobiles basse consommation. Une version 22 nm SHP (Super High Performance) devrait également voir le jour. Le fondeur espère pouvoir produire ses premières puces en 22/20 nm à la fin de l’année 2012, la production de masse étant prévue pour l’année suivante. source ; http://www.presence-pc.com/actuali [...] ies-40522/
 
****************
 
La nanotechnologie améliore les écrans OLED
 
L’industrie des écrans LCD et OLED est en perpétuel mouvement, et des innovations ou nouvelles technologies apparaissent tous les jours, ou presque. Une équipe de chercheurs de l’Université du Michigan vient ainsi d’annoncer avoir mis au point une nouvelle technologie permettant de réduire de manière importante la taille des pixels de nos écrans.
Des p’tits trous…
 
En utilisant un filtre composé de trous dont la taille de quelques centaines de nanomètres est définie selon les longueurs d’onde du rouge (les trous mesurent alors 360 nm), du vert (270 nm) et du bleu (250 nm), ces chercheurs sont parvenus à afficher le logo de leur université sur une surface de seulement 9 microns de haut. En pratique, « l’écran » ainsi obtenu possède des pixels huit fois plus petits que ceux de l’écran Retina de l’iPhone 4 (326 ppp pour rappel).
 
ZoomUne équipe de chercheurs de l’Université de Florida a de son côté découvert que l’utilisation de nanotubes de carbone pouvait permettre de diminuer le coût ainsi que les besoins en énergie des écrans OLED, tout en améliorant leurs performances. En empilant de fines couches d’aluminium, de nanotubes de carbone, de matériaux organiques et d’or sur un substrat en verre, les chercheurs ont obtenu un écran OLED bien plus efficace qu’un écran OLED classique à base de silicium poly-cristallin. Il est même théoriquement possible de fabriquer des écrans flexibles grâce à ce procédé.
 
Bref, vivement que ces différents technologies sortent des laboratoires… source ; http://www.presence-pc.com/actuali [...] gie-40511/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7563229
Zack38
Posté le 05-09-2010 à 17:51:53  profilanswer
 

[:eponge]  
 
Mon drap avait disparu o_O"

n°7564850
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 07-09-2010 à 02:05:48  profilanswer
 

Memristor - The Missing Circuit Element
 
http://www.brightsideofnews.com/Data/2010_9_1/HP-and-Hynix-Team-on-Universal-Memory/HP_MemRistor_400.jpg
 

Citation :

HP announced, earlier this year, that memristor technology can also perform a logic function.  The impact of reconfigurable logic where the difference between memory and logic is no longer separate could distinctly reorder the world of computing and semiconductor memory production.


Message édité par Wirmish le 07-09-2010 à 02:08:29
n°7565082
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 07-09-2010 à 11:47:42  profilanswer
 

uhm uhm concrètement ça apporte quoi ?


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7565135
Profil sup​primé
Posté le 07-09-2010 à 12:38:46  answer
 

Je lis 4/8x plus de mémoire sur une même surface...

n°7565829
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 07-09-2010 à 20:06:20  profilanswer
 

super_newbie_pro a écrit :

uhm uhm concrètement ça apporte quoi ?


 
Faut cliquer sur l'image pour lire l'article.
 
En gros cette mémoire peut s'empiler pour offrir beaucoup plus de capacité par mm².
Les cellules de mémoire peuvent aussi se "transformer" en circuits afin de créer un CPU reconfigurable à la volée.
Bref, ces Memristor vont bientôt révolutionner le monde des semi-conducteurs.
 
Wikipedia
 
"HP a prototypé une mémoire à bascule/interrupteur matriciel en utilisant des composants pouvant contenir 100 gigabits dans un centimètre carré."
"Les mémoires flash ayant actuellement la densité la plus élevée stockent 64 Gbit dans la même surface, pour comparaison."
 
"Les memristors semiconducteurs de Williams peuvent être combinés en transistors, bien plus petits que les transistors standards."
 
"Ils peuvent également être assemblés en mémoire de masse, qui permettraient une plus grande densité de données que les disques durs (avec des temps d’accès similaires à la DRAM), remplaçant ainsi ces deux composants."
 
"En informatique, ces composants permettraient d'écourter fortement le temps de démarrage d'un ordinateur. Comme une ampoule, l'ordinateur serait allumé quasiment instantanément et dans l'état exact de la dernière utilisation. La rapidité ultime serait atteinte avec ce composant d'après certains magazines spécialisés."


Message édité par Wirmish le 07-09-2010 à 20:10:35
n°7565860
Zack38
Posté le 07-09-2010 à 20:28:54  profilanswer
 

Je n'ai jamais très fait confiance aux technologies porteuses d'énormes promesses comme celle-ci...
 
Là, on nous annonce de la reconfiguration physique, la possibilité d'adapter la technologie en mémoire non-volatile ou en puce de calcul...

n°7566771
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 08-09-2010 à 15:05:47  profilanswer
 

LCD Filter Could Make Screens 400 Percent More Energy Efficient
 

Citation :

With the use of these conventional layers, an LCD would only emit eight percent of the light produced by its backlight. With the newly developed film, 36 percent of light is emitted, which is an increase that enhances overall LCD efficiency.

n°7573788
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-09-2010 à 10:14:06  profilanswer
 

Intel fonce, fonce... 22nm en vue

Citation :

Intel vient de confirmer que ses processeurs 22 nm étaient déjà dans ses usines et qu’ils seraient commercialisés durant le second semestre de l’année prochaine, avec l’architecture Ivy Bridge.
Intel renoue avec la précision
 
C’est le P.D.G Paul Otellini qui a eu la tâche d’affirmer, entre autres, qu’Intel respecterait le planning qu’il s’était fixé pour la sortie de son nouveau processus de fabrication. En effet, les nouvelles architectures de la firme sont toujours prévues durant cette période, généralement le dernier trimestre de l’année, le Sandy Bridge étant un peu en retard sur la roadmap Tick-Tock du fondeur.
Roadmap jusqu’en 2013
 
En l’espèce, nous attendons le tock, soit le Sandy Bridge qui offre une nouvelle microarchitecture, tandis que le prochain tick et l’Ivy Bridge qui privilégie la finesse de gravure. Le tock suivant, attendu pour la fin 2012 sous la forme de l’Haswell, sera aussi gravé en 22 nm et devrait proposer une nouvelle architecture avec entre autres, un cache complètement redessiné. La roadmap actuelle d’Intel va jusqu’au tick du core Rockwell qui sera gravé en 16 nm et qui est prévu pour la fin 2013.
 
Pour plus d’information sur la miniaturisation des transistors et les barrières technologiques à franchir pour commercialiser des processeurs en 16 nm, nous vous invitons à lire notre article « Miniaturisation des transistors et agrandissements des wafers : comprendre les enjeux technologiques ».

source ; http://www.presence-pc.com/actuali [...] tor=RSS-11


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7573986
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-09-2010 à 13:09:17  profilanswer
 

Intel : et si le raytracing se faisait dans le Cloud ?

Citation :

On le sait l'avenir de la 3D passe, peut être, par le raytracing ou lancé de rayons. Il s'agit d'une méthode de rendu différente de la rasterization actuellement utilisée dans nos jeux, consoles de salons et autres périphériques offrant des rendus d'images 3D. Beaucoup plus riche en détails, le raytracing, ou lancé de rayons, calcule le parcours de la lumière, et ses rebonds, de la scène vers la caméra. Extrêmement gourmand en terme de puissance de calcul, le raytracing offre comme avantage le fait que chaque objet de la scène est définit de manière mathématique. Actuellement, aucune carte graphique n'offre la puissance nécessaire pour proposer un rendu fluide en raytracing, pas même le GeForce GTX 480 de NVIDIA.
 
Aussi, les ingénieurs d'Intel ont ils développé une solution alternative. Puisque les PC n'ont pas la puissance nécessaire pour effectuer aux mêmes le raytracing, pourquoi ne pas déporter le calcul dans le cloud, sur une ferme de serveur ? L'ordinateur sur lequel on joue devient alors un simple terminal qui affiche les rendus calculés par les serveurs de raytracing et transmet les commandes du joueur. C'est ce type de démonstration qu'Intel exhibait dans les allées de l'IDF avec d'un côté 4 machines Intel équipées de cartes Knights Ferry (des cartes utilisant une version évoluée du fameux Larrabee) et de l'autre un simple ordinateur portable relié au réseau local en Gigabit. La démonstration était fonctionnelle, relativement fluide, avec une moyenne généreuse de 60 images seconde et se basait sur une version modifiée du moteur du jeu Wolfenstein. Quelques petits effets sympathique était présent comme des explosions volumétriques ou des effets plus que réalistes au niveau du rendu de certains matériaux comme le verre.
 
Reste un détail. Pour fonctionner cette démo utilisait un lien Gigabit... pour une connexion directe avec les serveurs. Dans la vie de tous les jours, il faudrait selon notre interlocuteur une connexion ADSL de type 5 Mbps au minimum pour que le rendu fonctionne. Et si avec une connexion ADSL 5 Mbps on a assez de bande passante pour afficher les images, rien ne dit que le temps de latence sera suffisant... car c'est aussi l'un des problèmes du raytracing dans le "cloud" : il faut que le personnage du jeu vidéo réagisse instantanément à vos actions et non quelques millisecondes plus tard...

source ; http://www.clubic.com/processeur/p [...] cloud.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7574005
Zack38
Posté le 14-09-2010 à 13:27:17  profilanswer
 

Les moteurs 3D traditionnels font déjà très bien leur boulot tout en demandant bien moins de puissance.
 
Donc le ray-tracing via Cloud, c'est pas pour tout de suite que ça va intéresser les gamers, et donc que ce sera mis en place.
 
Qui plus est, tous les gamers n'ont pas forcément une connexion Internet en béton...

n°7574078
Profil sup​primé
Posté le 14-09-2010 à 14:36:57  answer
 

Ça coûtera bien plus cher tout en n'en étant pas propriétaire
Lecloud [:lazar] c'est pas pour nous

n°7577548
Zack38
Posté le 17-09-2010 à 08:17:07  profilanswer
 

Vers des puces quantiques multiphotoniques
 

Citation :

Des chercheurs anglais ont développé une puce utilisant les propriétés quantiques de deux photons pour réaliser des calculs complexes.
 
D’un à deux photons
 
Les scientifiques expliquent que les ordinateurs quantiques ne seront pas une réalité avant 25 ans, mais que ces recherches les auraient rapprochés à 10 ans. Pour la première fois, la puce en question utilise deux photons se mouvant sur un réseau de circuits placé sur un die en silicium afin de réaliser des opérations nettement plus complexes qu’avec les systèmes antérieurs utilisant un seul photon.
 
Le passage d’un à deux photons est important. Les deux particules ont besoin d’être identiques en tout point et la façon dont elles interagissent n’a pas d’équivalent en dehors de la physique quantique.
 
Vers la résolution de calculs nettement plus complexes
 
À court terme, les chercheurs espèrent utiliser ces résultats pour développer de nouvelles simulations. À plus long terme, l’utilisation de plusieurs photons simultanément pourra permettre de simuler des phénomènes quantiques tels que la supraconductivité et les photosynthèses.
 
Contrairement aux transistors classiques reposant sur le mouvements d’électrons pour définir si le courant passe ou pas et qui sont limités par seulement deux états (0 et 1), les scientifiques envisagent 10 états par photons, ce qui permet de réaliser des calculs beaucoup plus complexes. On comprend aussi que l’ajout d’un seul électron fait passer le nombre d’états possibles de 10 à 100, ce qui explique l’enthousiasme des universitaires.
 
Ces résultats sont publiés dans la revue Science parue aujourd’hui.


 
Source : http://www.presence-pc.com/actuali [...] que-40683/

n°7616904
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 20-10-2010 à 06:48:19  profilanswer
 

INTEL Une nouvelle usine Intel aux États-Unis

Citation :

Intel vient d’annoncer qu’il allait dépenser entre 6 et 8 milliards de dollars pour la mise à jour d’équipements et la création d’une nouvelle usine située en Oregon et qui sera destinée à la recherche et au développement.
Intel prépare le 22 nm
 
Le fondeur prépare la fabrication des puces en 22 nm, qui est la prochaine étape technologique à franchir. Cette finesse de gravure devrait apporter une nouvelle génération de couches d’oxyde high-k utilisant du dioxyde de hafnium (comme on le fait depuis le 45 nm) dopé avec du dioxyde de silicium ou de l’oxyde d’yttrium. Les méthodes de fabrication de la grille devraient aussi changer afin d’optimiser la permittivité. Intel a déjà annoncé qu’il commercialisera ses premiers processeurs en 22 nm durant le second semestre 2011 (architecture Ivy Bridge) et il est fort probable qu’il fasse appel à toutes ces innovations (cf. « Miniaturisation des transistors et agrandissement des wafers : comprendre les enjeux technologiques »).
Mise à jour de quatre usines et création d’une cinquième
 
Intel a expliqué qu’il mettra à jour les usines Fab 12 et Fab 32 en Arizona et les D1C et D1D en Oregon. Si la firme n’est pas rentrée dans le détail, il est facile d'imaginer que la majorité de cet investissement ira dans l’achat de nouvelle machine et l’optimisation des méthodes de fabrication actuelle. Intel érigera aussi l’usine D1X qui devrait commencer ses travaux en recherche et développement d’ici 2013.
 
Ce nouveau projet devrait créer 6 000 à 7 000 emplois dans le bâtiment et 800 à 1 000 postes permanents dans les hautes technologies.


 
source ; http://www.presence-pc.com/actuali [...] ine-41080/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7630147
Zack38
Posté le 28-10-2010 à 10:21:05  profilanswer
 

Du graphène et de l’eau comme transistor - THFR
 
Des chercheurs de l’institut polytechnique de Rensselaer aux États-Unis ont développé un transistor à base de graphène et utilisant de l’eau pour modifier sa conductivité. Lire la suite...


---------------
Méta-Topic Hardware
n°7632135
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 29-10-2010 à 11:36:23  profilanswer
 

Elpida and Sharp to co-develop ReRAM, launch products in 2013

Citation :

Elpida Memory and Sharp announced that they will co-develop ReRAM memory, which will be commercialized in 2013. ReRAM (resistive random access memory) uses less power and can write data 10,000 faster than NAND flash. When on standby mode, it uses almost no power at all.
 
This collaboration will also include other Japanese companies and institutes, including the National Institute of Advanced Industrial Science and Technology and the University of Tokyo.

source ; Reuters


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7632337
hydrogen2
Posté le 29-10-2010 à 13:44:35  profilanswer
 

Y a que moi qui trouve que copier/coller du Reuters c'est un peu barge ? Non, parceque si je suis le seul alors je remballe, mais sinon y a peut-être moyen de faire un petit effort, non ?

n°7632399
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 29-10-2010 à 14:04:57  profilanswer
 
n°7635592
Charles K
Posté le 31-10-2010 à 16:26:21  profilanswer
 

Advance Could Change Modern Electronics
 

Citation :

Researchers at Oregon State University have solved a quest in fundamental material science that has eluded scientists since the 1960s, and could form the basis of a new approach to electronics.
 
"Researchers have been trying to do this for decades, until now without success," said Douglas Keszler, a distinguished professor of chemistry at OSU. "Diodes made previously with other approaches always had poor yield and performance.
 
"This is a fundamental change in the way you could produce electronic products, at high speed on a huge scale at very low cost, even less than with conventional methods," Keszler said. "It's a basic way to eliminate the current speed limitations of electrons that have to move through materials."
 
A patent has been applied for on the new technology, university officials say. New companies, industries and high-tech jobs may ultimately emerge from this advance, they say.
 
The research was done in the Center for Green Materials Chemistry, and has been supported by the National Science Foundation, the Army Research Laboratory and the Oregon Nanoscience and Microtechnologies Institute.
 
Conventional electronics made with silicon-based materials work with transistors that help control the flow of electrons. Although fast and comparatively inexpensive, this approach is still limited by the speed with which electrons can move through these materials. And with the advent of ever-faster computers and more sophisticated products such as liquid crystal displays, current technologies are nearing the limit of what they can do, experts say.
 
By contrast, a metal-insulator-metal, or MIM diode can be used to perform some of the same functions, but in a fundamentally different way. In this system, the device is like a sandwich, with the insulator in the middle and two layers of metal above and below it. In order to function, the electron doesn't so much move through the materials as it "tunnels" through the insulator -- almost instantaneously appearing on the other side.
 
"When they first started to develop more sophisticated materials for the display industry, they knew this type of MIM diode was what they needed, but they couldn't make it work," Keszler said. "Now we can, and it could probably be used with a range of metals that are inexpensive and easily available, like copper, nickel or aluminum. It's also much simpler, less costly and easier to fabricate."
 
The findings were made by researchers in the OSU Department of Chemistry; School of Electrical Engineering and Computer Science; and School of Mechanical, Industrial and Manufacturing Engineering.
 
In the new study, the OSU scientists and engineers describe use of an "amorphous metal contact" as a technology that solves problems that previously plagued MIM diodes. The OSU diodes were made at relatively low temperatures with techniques that would lend themselves to manufacture of devices on a variety of substrates over large areas.
 
OSU researchers have been leaders in a number of important material science advances in recent years, including the field of transparent electronics. University scientists will do some initial work with the new technology in electronic displays, but many applications are possible, they say.
 
High speed computers and electronics that don't depend on transistors are possibilities. Also on the horizon are "energy harvesting" technologies such as the nighttime capture of re-radiated solar energy, a way to produce energy from the Earth as it cools during the night.
 
"For a long time, everyone has wanted something that takes us beyond silicon," Keszler said. "This could be a way to simply print electronics on a huge size scale even less expensively than we can now. And when the products begin to emerge the increase in speed of operation could be enormous."

n°7638466
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 02-11-2010 à 16:13:56  profilanswer
 

Intel, Samsung and Toshiba cooperate toward 10nm

Citation :

It's becoming all the more tricky to shrink the size of the transistors used in our silicon chips. To secure a stready development the world's largest semiconductor manufacturers have decided to join forces and Intel, Samsung and Toshiba have signed a treaty. The companies will cooperate on reasearch and development toward a new 10nm technology.
 
The joint venture will start soon and include another ten or so companies that was invited by the trio to help in devellopment research on the future of semiconductor manufacturing.
 
The idea is to have the new 10 nm technology ready in 2016 when Samsung and Toshiba aims to use the technology for making NAND flash circuits while Intel is planning to use it for making microprocessors. A transistor made at 10nm is 100,000 times thinner than a normal strain of hair.
 
According to a report published by Nikkei Daily the Japanese ministry of trade and industry invest 5 billion yen of the 10 billion yen that will be required to get the research and development started. The remaining 5 billion yen will come from the participating companies.

source ; http://www.nordichardware.com/news [...] -10nm.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7659021
pop_pop
System.NoBeerException
Posté le 15-11-2010 à 10:51:48  profilanswer
 

[:turborunner]

n°7681228
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 01-12-2010 à 19:50:27  profilanswer
 

IBM a-t-il ouvert la voie vers l'exaflop ?

Citation :

Les chercheurs d'IBM viennent d'annoncer le développement d'une nouvelle technologie de transfert de l'information entre les puces électroniques. Baptisée CMOS Integrated Silicon Nanophotonics (CMOS-ISN), elle utilise des impulsions lumineuses pour accélérer la circulation des données. Et selon IBM, CMOS-ISN pourrait ouvrir la voie vers le calcul à la puissance 18, soit l'exaflop (1 million de billions de calculs par seconde).
 
Pour se faire une idée, le supercalculateur le plus puissant du monde - le Tianhe-1A chinois - dispose d'une puissance de 2,57 petaflops. Les Etats-Unis ont récemment annoncé vouloir reprendre la première place du Top500, le classement des supercalculateurs, avec deux machines à 20 petaflops chacun. C'est donc une multiplication par 500 de la puissance actuellement disponible qui serait rendue possible par la technologie CMOS-ISN.
 
IBM pourrait donc être dans les temps pour son projet de construire un ordinateur avec une vitesse de calcul relevant de l'exaflop avant 2020. « Dans un système à l'échelle de l'exa, les interconnexions doivent pouvoir transporter plusieurs exaoctets chaque seconde à travers le réseau. C'est une avancée intéressante pour les fabricants de systèmes qui visent à construire des machines à l'échelle exa dans dix ans, » explique Will Green, l'un des chercheurs à l'origine du CMOS-ISN à IBM.
 
Selon IBM, la technologie sera compatible avec les puces standard, et ne nécessitera donc pas de mise à niveau des chaînes de production de processeurs. Une économie financière importante pour le développement de la technologie. Les modules photophoniques ne changeront d'ailleurs pas énormément la logique de construction actuelle, qui utilise déjà les technologies optiques pour la communication entre les puces - mais à des niveaux bien inférieurs.
 
Au final, Big Blue veut remplacer tous les fils de cuivre dans ses systèmes de transfert de données. Les transmissions optiques sont plus rapides, et plus efficaces aussi, car la déperdition est moindre sur les longues distances.

source ; http://pro.clubic.com/it-business/ [...] aflop.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7681313
Zack38
Posté le 01-12-2010 à 20:47:29  profilanswer
 

Comme on pouvait s'y attendre de la part d'IBM...
 
S'agirait-il de la révolue technologique tant attendue ?
 
Je suis curieux de savoir comment évolueront les processeurs d'aujourd'hui aux processeurs photoniques !

n°7691266
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 09-12-2010 à 02:48:56  profilanswer
 

Et si l'hologramme était notre futur système affichage dans les années à venir ?
 
Je suis bluffé en voyant ce qu'ils ont réussi à faire ==> http://www.zebraimaging.com/products/digital-prints
 
vidéos ici ==> http://www.youtube.com/watch?v=_9QR3qaK_Cs


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7691477
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 09-12-2010 à 11:01:01  profilanswer
 

Ca y est, ils franchissent le pas !!
 
Intel vient de confirmer que la nouvelle usine qu’il construit aux États-Unis utilisera des wafers de 450 mm.

Situées dans l’Oregon, l’usine d’Hillsboro et la mise à jour d’autres centres pour la gravure en 22 nm devraient coûter un total de 6 à 8 milliards de dollars (cf. « Une nouvelle usine Intel aux États-Unis »). Connue sous le nom de D1X, le nouveau bâtiment qui sera avant tout utilisé pour des travaux en recherche et développement sera opérationnel en 2013.
 
Il semblerait que la décision de passer à des galettes de 450 mm (contre 300 mm) actuellement ne soit pas seulement réservée à Intel ou Samsung et Toshiba, ses partenaires dans la promotion de wafers plus grands. De nombreux équipementiers et fondeurs ont déjà commencé à expérimenter avec cette technologie hier hors de portée mais aujourd’hui plus abordable.
 
source ; http://www.presence-pc.com/actualite/D1X-41652/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7701232
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 15-12-2010 à 00:26:22  profilanswer
 

Publié à l'instant :
 
High K Metal Gate (HKMG) Solutions for 28nm Technologies Introduction ==> http://www.youtube.com/watch?v=tQlZnhYrz5w
 
High K Metal Gate (HKMG) Performance, Cost, Die Size and Design Compatibilty  ==> http://www.youtube.com/watch?v=Syl2pEEEu6c
 
Ability to Ramp & Time-to-Volume and Manufacturability & Reliability ==> http://www.youtube.com/watch?v=49TVR_ktgjE


Message édité par super_newbie_pro le 15-12-2010 à 00:30:05

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7710962
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 21-12-2010 à 02:14:14  profilanswer
 

Citation :

IBM unveils its fifth annual "Next Five in Five" -- a list of innovations with the potential to change the way people work, live and play over the next five years. The Next Five in Five is based on market and societal trends expected to transform our lives, as well as emerging technologies from IBM's Labs around the world that can make these innovations possible.

http://www.youtube.com/watch?v=anKiEoxkpxM


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7737287
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 06-01-2011 à 17:48:26  profilanswer
 

CES 2011 : TV 4K 3D sans lunettes, Sony frappe fort

Citation :

Sony marque les esprits avec un écran très haute-définition capable de rendre parfaitement la 3D sans lunettes avec un recul de 2 à 3 mètres.
 
Sony CES 2011 (2)On n'arrête pas le progrès... C'est par cette phrase que Sony aurait dû commencer sa conférence d'ouverture du CES 2011 de Las Vegas où a été introduit le futur des téléviseurs.
 
Accrochez-vous bien, Sony exhibe un téléviseur d'une diagonale de 56" en très haute-définition (4K) capable de rendre une image en 3D sans qu'aucune paire de lunettes ne soit nécessaire. Et de l'avis de tous, le confort de visionnage offert par cet écran est parfait, tout aussi bon que sur les meilleurs systèmes à lunettes actives.
 
Voici donc clairement l'écran de ce CES 2011, celui qui préfigure ce que seront les téléviseurs de demain. Et alors que l'on pensait qu'après la démocratisation de la HD il faudrait attendre de longues années avant de connaître une nouvelle ère, il semblerait que la transition puisse être plus rapide que prévu. Alors certes, ce n'est pas tout de suite que ces écrans seront accessibles au commun des mortels et les modèles grand-public de ce CES 2011 sont plutôt les TV connectées - sur lesquelles insistent les constructeurs, puisque les chiffres de vente des écrans 3D avec lunettes ne décollent pas -, mais il est intéressant de voir que les technologies de demain préparent leur arrivée.
4K et 3D sans lunettes, la TV de demain
 
C'est donc clairement Sony qui a le mieux réussi à se projeter dans l'avenir, et si LG montre lui aussi un prototype de téléviseur 3D sans lunettes offrant un rendu d'une qualité impressionnante, et que Toshiba s'y essaye aussi avec un prototype de 40", Sony fait carrément plus fort avec sa dalle qui surpasse en tous points les autres.
 
Quant au 4K, il semble quoi qu'il arrive voué à se démocratiser dans les années à venir. Inutile sur les petites diagonales, la très haute-définition prend tout son sens sur les téléviseurs les plus grands. Et si l'on pourra toujours pester contre les constructeurs qui semblent vouloir nous faire changer de TV tous les deux ans (HD, Full HD, TNT, TNT HD, 3D... et maintenant 4K), mieux vaut rester philosophe car, non, à l'évidence, rien n'arrêtera le progrès.

source ; http://www.pcworld.fr/2011/01/06/h [...] ny/510253/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7737481
pop_pop
System.NoBeerException
Posté le 06-01-2011 à 19:17:41  profilanswer
 

super_newbie_pro a écrit :

CES 2011 : TV 4K 3D sans lunettes, Sony frappe fort

Citation :

Sony marque les esprits avec un écran très haute-définition capable de rendre parfaitement la 3D sans lunettes avec un recul de 2 à 3 mètres.
 
Sony CES 2011 (2)On n'arrête pas le progrès... C'est par cette phrase que Sony aurait dû commencer sa conférence d'ouverture du CES 2011 de Las Vegas où a été introduit le futur des téléviseurs.
 
Accrochez-vous bien, Sony exhibe un téléviseur d'une diagonale de 56" en très haute-définition (4K) capable de rendre une image en 3D sans qu'aucune paire de lunettes ne soit nécessaire. Et de l'avis de tous, le confort de visionnage offert par cet écran est parfait, tout aussi bon que sur les meilleurs systèmes à lunettes actives.
 
Voici donc clairement l'écran de ce CES 2011, celui qui préfigure ce que seront les téléviseurs de demain. Et alors que l'on pensait qu'après la démocratisation de la HD il faudrait attendre de longues années avant de connaître une nouvelle ère, il semblerait que la transition puisse être plus rapide que prévu. Alors certes, ce n'est pas tout de suite que ces écrans seront accessibles au commun des mortels et les modèles grand-public de ce CES 2011 sont plutôt les TV connectées - sur lesquelles insistent les constructeurs, puisque les chiffres de vente des écrans 3D avec lunettes ne décollent pas -, mais il est intéressant de voir que les technologies de demain préparent leur arrivée.
4K et 3D sans lunettes, la TV de demain
 
C'est donc clairement Sony qui a le mieux réussi à se projeter dans l'avenir, et si LG montre lui aussi un prototype de téléviseur 3D sans lunettes offrant un rendu d'une qualité impressionnante, et que Toshiba s'y essaye aussi avec un prototype de 40", Sony fait carrément plus fort avec sa dalle qui surpasse en tous points les autres.
 
Quant au 4K, il semble quoi qu'il arrive voué à se démocratiser dans les années à venir. Inutile sur les petites diagonales, la très haute-définition prend tout son sens sur les téléviseurs les plus grands. Et si l'on pourra toujours pester contre les constructeurs qui semblent vouloir nous faire changer de TV tous les deux ans (HD, Full HD, TNT, TNT HD, 3D... et maintenant 4K), mieux vaut rester philosophe car, non, à l'évidence, rien n'arrêtera le progrès.

source ; http://www.pcworld.fr/2011/01/06/h [...] ny/510253/


 
Une idée de la technologie utilisée pour la 3D sur la dalle sony ?

mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR