Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1660 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°6866939
marllt2
Posté le 02-03-2009 à 03:53:26  profilanswer
 

Reprise du message précédent :
http://www.anandtech.com/cpuchipse [...] i=3326&p=9
 

Citation :

Over six years ago I had dinner with Intel's Pat Gelsinger (back when he was Intel's CTO), and I asked him the same question I always do: "what are you excited about?" Back then his response was "threading", Intel was about to launch Hyper Threading and Pat was convinced that it was absolutely necessary for the future of microprocessors.  
 
It was at the same dinner that Pat mentioned Intel may do a chip with an integrated memory controller much like AMD, but that an IMC wouldn't solve the problem of idle execution units - only indirectly mitigate it. With Nehalem, Intel managed to combine both - and it only took 6 years to pull it off.  
 
Pat also brought up another very good point at that dinner. He turned to me and said that you can only integrate a memory controller once, what do you do next to improve performance? Intel has managed to keep increasing performance, but what I really want to see is what happens at the next tock. Intel proved its ability with Conroe and with Nehalem it shows that the tick-tock model can work, but more than anything looking at Nehalem today makes me excited at what Sandy Bridge will bring.


 
D'où la question: que va faire Intel pour améliorer l'IPC avec le SandyBridge, Haswell et les gen suivantes ?
 
Parce que multiplier le nombre de cores a une limite. Que ce soit au niveau des programmes qui ne peuvent pas tous êtres massivement multithreadés, mais aussi question gravure.
 
Pour le 1er point il y a le TLS (Thread Level Speculation) qui est pour faire simple un reverse-HT. Mais c'est compliqué à développer, et peu energy efficient, au contraire de la mouvance actuelle et des conclusions du NetBurst.
 
Et puis se pose le problème de la gravure pour assurer la course aux cores. Le 32nm est quasiment finalisé, mais il va quand même coûter des milliards de $ à Intel, bien plus que le passage au 45nm par exemple. Peu de compagnies et de fonderies ont les moyens de migrer vers le 32nm. Et le temps de rentabilisation de ces Fabs va augmenter.
 
Le 22nm va lui poser encore plus de problème, et est proche de la limite pour une industrialisation en masse. Et on commence même chez Intel à parler de procédé intermédiaire avec le 25nm.
 
Et le 16nm, prévu par Intel fin 2013 semble plus destiné à affirmer sa R&D, qu'à être industrialisé. Pour le passage aux wafers de 450mm, c'est la même chose. Les coûts sont tellement élevés, que le taux de rentabilité rapporté au risque financier serait ridicule, voire même négatif.
 
Les process 16nm et wafers 450mm resteront des vitrines technologiques, mais pas rentables avant (très ?) longtemps.  
 
Donc les fondeurs vont essayer de rentabiliser le plus possible le 22/32 nm + wafers 300mm.  
Chez Intel ce sera du 22nm, avec les Fabs 32nm et 45nm restantes dédiés aux application moins limitantes que les CPU/GPU: chipsets, contrôleurs...
Chez TSMC ce sera probablement un half-node de 28nm (25nm ?).


Message édité par marllt2 le 02-03-2009 à 05:01:52
mood
Publicité
Posté le 02-03-2009 à 03:53:26  profilanswer
 

n°6875371
marllt2
Posté le 08-03-2009 à 06:47:19  profilanswer
 

Roadmap TSMC:
 
http://img4.imageshack.us/img4/6192/tsmcroadmap.jpg
 

n°6875391
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 08-03-2009 à 08:55:26  profilanswer
 

32nm pour fin 2009 ?? euhmmm... uhm uhm. Vu les problèmes avec le 40nm, je me demande s'ils vont tenir leurs délais avec le 32nm... Ceci dit vu leur roadmap, une question émerge... GT300 / RV870 en 40nm ? Puis une révision en 32nm en 2010 ? ^^


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6876297
Fantryt
Posté le 08-03-2009 à 21:09:39  profilanswer
 

Salut,
J'ai entendu parler d'un moyen permettant de graver sur un disque, mais du côté où les informations sont stockées . Quelqu'un pourrait-il retrouver la news et la poster ici ? Ça m'intéresse et plus moyen de la retrouver !  :fou:

n°6876331
Fantryt
Posté le 08-03-2009 à 21:38:19  profilanswer
 

Finalement, je me réponds :
http://www.presence-pc.com/actuali [...] sin-33824/
Pour ceux que ça intéresse ...

n°6876542
marllt2
Posté le 09-03-2009 à 01:40:37  profilanswer
 

super_newbie_pro a écrit :

GT300 / RV870 en 40nm ?


Oui, en 40 LPG.

Message cité 1 fois
Message édité par marllt2 le 09-03-2009 à 01:40:53
n°6876668
Profil sup​primé
Posté le 09-03-2009 à 11:19:46  answer
 

marllt2 a écrit :


Oui, en 40 LPG.


 
 
 :jap:

n°6877606
marllt2
Posté le 09-03-2009 à 22:43:06  profilanswer
 

Enfin, remarquez que le nom du procédé de TMSC n'est pas certain (même si le LPG se prête pas mal au GPU HDG parmi les différents 40nm de TSMC).
 
Par contre ce sera forcément du 40nm.


Message édité par marllt2 le 09-03-2009 à 22:43:30
n°6881700
marllt2
Posté le 13-03-2009 à 02:11:07  profilanswer
 

Carte spécialisée dans le raytracing chez Caustic: http://www.fudzilla.com/index.php? [...] 9&Itemid=1

n°6897231
Fantryt
Posté le 25-03-2009 à 19:51:13  profilanswer
 

Allez, comme ce topic pourrait presque tomber dans l'oubli :
 
Des composants à 1000 GHz grâce au graphène
 
http://www.presence-pc.com/actuali [...] ene-34233/
 
On cite souvent l'augmentation de la complexité des processeurs,les fuites d'électrons au sein des transistors comme les principauxfreins à la montée en fréquence des puces. Ces obstacles sont réels,mais ils ne sont malheureusement pas les seuls. Pour faire fonctionnerun processeur à 1, 3, 5 ou 10 GHz, il faut encore pouvoir générer cettefréquence d'horloge. Cela est fait en deux étapes : la génération d'unefréquence de base, puis la multiplication de cette fréquence d'unfacteur donné. Or les multiplicateurs de fréquences ont aussi leurslimites et leurs défauts : ils consomment une quantité d'énergie nonnégligeable et ils génèrent un signal bruité, qui nécessite un filtrage.Heureusement, grâce au graphène, des chercheurs du MIT pensentpouvoir diviser la consommation et augmenter le rapport signal/bruitconsidérablement. Tomas Palacios, chercheur au département de génieélectrique et d'informatique au MIT, et codécouvreur, estime qu'
«il est très difficile de générer des fréquences supérieures à 4 ou 5GHz. Mais la nouvelle technologie au graphène pourrait aboutir à dessystèmes capables de fonctionner dans la fourchette de 500 à 1 000 GHz.»

Cerise sur le gâteau, alors que les multiplicateurs actuels fontappel à de nombreux composants, le recours au graphène aboutirait à descomposants à un seul transistor, donc beaucoup plus faciles à produire,et moins couteux.  
Le graphène est décidément un matériau incontournable lorsqu'onévoque le futur de l'informatique et de l'électronique en général. Cesderniers mois, il a permis la réalisation des transistors les plus petits au monde, ou de mémoire non volatile 1000 fois plus rapide que la Flash NAND. Malheureusement, sa production en grande quantité pose toujours problème.

mood
Publicité
Posté le 25-03-2009 à 19:51:13  profilanswer
 

n°6897260
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 25-03-2009 à 20:11:32  profilanswer
 

nom d'un canard !! intel se doit de se pencher là dessus !!


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6897279
cleyt64
On my way !
Posté le 25-03-2009 à 20:24:28  profilanswer
 

super_newbie_pro a écrit :

nom d'un canard !! intel se doit de se pencher là dessus !!


 
Ou AMD ?  :whistle:  


---------------
Il est là !!!
n°6897299
Fantryt
Posté le 25-03-2009 à 20:32:29  profilanswer
 

cleyt64 a écrit :

 

Ou AMD ?  :whistle:


Disons : les deux .  :p   :D
Le graphène semble réellement être un matériau d'avenir, au moins pourla microélectronique et la future nanoélectronique, dans laquelle lespropriétés absolument phénoménales du graphène seront sûrement lesbienvenues pour les ingénieurs .

 

J'ai trouvé ça, aussi, mais ce n'est plus valable, naturellement :
http://www.01net.com/article/162709.html
A cette époque, on ne songeait pas encore aux multi-cœurs ...


Message édité par Fantryt le 25-03-2009 à 20:33:07
n°6901345
Profil sup​primé
Posté le 28-03-2009 à 22:08:58  answer
 

La nouvelle usine d'AMD (tout 45nm) se porte bien
http://www.matbe.com/actualites/63 [...] eries-amd/

n°6901349
Fantryt
Posté le 28-03-2009 à 22:12:25  profilanswer
 

Pourvu que GF puisse fournir à AMD un procédé de gravure 32nm convaincant !  :)

n°6901613
M16
Posté le 29-03-2009 à 11:40:55  profilanswer
 

Fantryt a écrit :

Allez, comme ce topic pourrait presque tomber dans l'oubli :
 
Des composants à 1000 GHz grâce au graphène
 
http://www.presence-pc.com/actuali [...] ene-34233/
 
. Malheureusement, sa production en grande quantité pose toujours problème.


 
une fois ces problemes resolu, tout le monde se jetera dessus.  
je pense que les gros constructeur doivent quand meme surveiller ca de pres.

n°6901619
M16
Posté le 29-03-2009 à 11:44:41  profilanswer
 


 
ca se rapproche du rouge ATI. :sol:  
le vert (meme clair) ferait il penser trop a Nvidia.
perso , le vert AMD me fait pas penser a l'ecologie mais plutot aux couloirs d'un hopital.
 


Message édité par M16 le 29-03-2009 à 11:45:18
n°6901639
Fantryt
Posté le 29-03-2009 à 11:59:11  profilanswer
 

Dingue, ce topic ... à peine plus de 130 messages, et déjà lu plus de 5000 fois ...  :sweat:  
Enfin, bon, là, on parle beaucoup de hardware futuriste, mais pourquoi ne parlerions-nous pas de software futuriste ?  :)  Quelqu'un a-t-il quelque chose là-dessus ?

n°6901692
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 29-03-2009 à 12:35:43  profilanswer
 
n°6901717
Fantryt
Posté le 29-03-2009 à 12:59:52  profilanswer
 

Ils sont quand même drôlement optimistes, chez Microsoft ...  :heink:  Vu qu'ils imaginent déjà ça pour 2019, j'imagine pas 2100 ...  :ouch:  Star Wars devient réalité !  :D

n°6903318
Profil sup​primé
Posté le 30-03-2009 à 18:17:37  answer
 

Le SLI au secours de la virtualisation => http://www.nvidia.com/object/sli_multi_os.html
 

Citation :

Minimum System Requirements  
 

  • Certified SLI-enabled workstation with Intel VT-d technology  
  • Certified workstation virtualization software  
  • Dual, Quadro FX 5800, 4800, and 3800 professional graphics boards


Message édité par Profil supprimé le 30-03-2009 à 18:17:51
n°6906997
Fantryt
Posté le 02-04-2009 à 17:33:28  profilanswer
 

Rien de bien nouveau sous les tropiques, en somme ...  ;)

n°6910342
Fantryt
Posté le 06-04-2009 à 11:38:11  profilanswer
 

http://www.pcinpact.com/actu/news/ [...] sseurs.htm
 
Intel pourrait réduire de 90% la consommation de ses processeurs
 
"Le site Xbit-labs rapporte qu'Intel travaille en ce moment sur de nouveaux procédés de fabrication de processeurs, des techniques qui pourraient réduire de 90 % leur consommation.
 
Dans ses dernières publications, les chercheurs d'Intel affirment qu'il est possible de former des microprocesseurscomposés de transistor « P-channel » sur un substrat de silicium, enexploitant des semiconducteurs composés de matériaux « III-V »,estampillés ainsi en référence à leur classement dans le tableaupériodique des éléments. Ces matériaux sont y effectivement juxtaposésau silicium, dans les colonnes III A et V A.
 
http://static.pcinpact.com/images/bd/news/mini-36825-penryn-die.jpgEncombinant ces transistors P-channel à d'autres transistors N-channelqu'Intel avait aussi mis au point l'année dernière par des composés dematériaux III-V, les chercheurs expliquent qu'ils obtiennent lescircuits les plus efficaces jamais créés. Il serait ainsi possible defabriquer des circuits CMOS fonctionnels sur une tension 50 %inférieure, et avec une consommation énergétique équivalant à seulement10 % de celle des puces actuelles.
 
Cette avancée, si elle est vraiment massivement exploitable en termesde production, pourrait révolutionner la consommation de tout type depuces électroniques : CPU, mais aussi GPU et tout autre circuitintégré. Ceci permettrait du coup d'augmenter considérablement lacomplexité des puces électroniques sans augmenter leur consommation, nileur dissipation thermique. Il faudra cependant attendre encoreplusieurs années avant que les chercheurs fixent les composés dematériaux adaptés à une production massive et optimisés pour ce typed'utilisation, la révolution n'est donc pas pour tout de suite."

Message cité 1 fois
Message édité par Fantryt le 06-04-2009 à 11:38:29
n°6910540
Fantryt
Posté le 06-04-2009 à 15:17:36  profilanswer
 

http://www.matbe.com/actualites/63 [...] e-beckton/
 
Le premier processeur octo-core se montre
 
Au détour d'une présentation japonaise de ses derniers Xeon, Intel aglissé une photo du core de son futur processeur octo-core Beckton.Avec quatre liens QPI, un contrôleur mémoire quad channel et 24 Mo decache L3, nous avons ici affaire à un vrai monstre ! Quand on voit lesdimensions actuelles d'un Xeon, on comprend mieux pourquoi il faudra unnouveau package et plus de pins pour ce processeur (LGA 1567). Le XeonBeckton 8 cores / 16 threads est attendu pour Q1 2010 mais pourrait sedévoiler à la fin de l'année. Un Xeon Westmere 6 cores est lui aussiprévu pour la même période. Ce dernier sera gravé en 32 nm et devraittenir dans un package classique au socket LGA 1366. Le cache L3 de ceprocesseur augmentera à 12 Mo au lieu des 8 Mo présents sur les Xeon5500 actuels.

n°6910767
Fantryt
Posté le 06-04-2009 à 19:32:45  profilanswer
 

La vidéo d'un océan simulé en DirectX 11 par NVIDIA
http://www.pcinpact.com/actu/news/ [...] ctx-11.htm
 
L'eau est rendue à l'écran sous un éclairage global, avec un maximum dedétail. Pour atteindre une telle précision de rendu, il a falluexploiter les Computer Shader de DirectX 11, qui permettent d'effectuercertains calculs en virgule flottante directement par les processeurs de flux du GPU.
 
Du coup, la simulation, qui exploite les consignes de Tessendorfsur le sujet, est capable de rendre en temps réel la surface de la meravec des calculs beaucoup plus complexes que ceux que pourraientassurer un processeurcentral x86. On parle notamment de trois transformations de Fourrier(FFT) en 512 x 512 par image, alors que les CPU sont limités à du 64 x64 ou du 128 x 128. La simulation tournait apparemment sur une GeforceGTX 280.

n°6910997
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 06-04-2009 à 22:27:38  profilanswer
 

Fantryt a écrit :

http://www.pcinpact.com/actu/news/ [...] sseurs.htm
 
Intel pourrait réduire de 90% la consommation de ses processeurs
 
"Le site Xbit-labs rapporte qu'Intel travaille en ce moment sur de nouveaux procédés de fabrication de processeurs, des techniques qui pourraient réduire de 90 % leur consommation.
 
Dans ses dernières publications, les chercheurs d'Intel affirment qu'il est possible de former des microprocesseurscomposés de transistor « P-channel » sur un substrat de silicium, enexploitant des semiconducteurs composés de matériaux « III-V »,estampillés ainsi en référence à leur classement dans le tableaupériodique des éléments. Ces matériaux sont y effectivement juxtaposésau silicium, dans les colonnes III A et V A.
 
http://static.pcinpact.com/images/ [...] yn-die.jpgEncombinant ces transistors P-channel à d'autres transistors N-channelqu'Intel avait aussi mis au point l'année dernière par des composés dematériaux III-V, les chercheurs expliquent qu'ils obtiennent lescircuits les plus efficaces jamais créés. Il serait ainsi possible defabriquer des circuits CMOS fonctionnels sur une tension 50 %inférieure, et avec une consommation énergétique équivalant à seulement10 % de celle des puces actuelles.
 
Cette avancée, si elle est vraiment massivement exploitable en termesde production, pourrait révolutionner la consommation de tout type depuces électroniques : CPU, mais aussi GPU et tout autre circuitintégré. Ceci permettrait du coup d'augmenter considérablement lacomplexité des puces électroniques sans augmenter leur consommation, nileur dissipation thermique. Il faudra cependant attendre encoreplusieurs années avant que les chercheurs fixent les composés dematériaux adaptés à une production massive et optimisés pour ce typed'utilisation, la révolution n'est donc pas pour tout de suite."


Ca c'est intéressant !! Et pas qu'un peu...


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6911027
Fantryt
Posté le 06-04-2009 à 23:09:06  profilanswer
 

Un exemple : le Core i7 965 Edition Extreme . Comme vous le savez, il a un TDP de 130Watts et 4 coeurs cadencés à 3,20GHz . Il possède aussi 8Mio de mémoire cache L3 et 8 threads grâce à l'HyperThreading . S'il avait bénéficié de cette nouvelle technologie, il aurait un TDP de ... 13Watts, d'après ce site : http://www.presence-pc.com/actuali [...] CPU-34400/
Incroyable, non ? Peut-être bien que ce n'est encore que le début ... peut-être bien aussi qu'une technologie similaire à celle-ci naîtra ailleurs, par exemple chez nVidia ou ATi, et ça leur permettrait de commercialiser de vraies cartes graphiques économes en énergie .


Message édité par Fantryt le 06-04-2009 à 23:11:49
n°6911097
Profil sup​primé
Posté le 07-04-2009 à 00:27:28  answer
 

J'adore les réactions ( [:ripthejacker]) à la simple vue d'une telle 'annonce'
:lol:
Faut pas rêver, comme pour les SSD
Y'aura toujours une nouveauté pas chère @1800€ (par là) dans 'pas pour tout de suite' [:diginico]


Message édité par Profil supprimé le 07-04-2009 à 00:27:35
n°6911153
marllt2
Posté le 07-04-2009 à 03:52:28  profilanswer
 

Pour rappel : http://www.clubic.com/actualite-35 [...] 32-nm.html
 
Bizzarement plus de nouvelles de ce tri-gate...


Message édité par marllt2 le 07-04-2009 à 03:52:36
n°6911375
Fantryt
Posté le 07-04-2009 à 12:13:41  profilanswer
 

C'est pour le 32nm .  :na:
De toute façon, avec les nouvelles technologies, on sait à quoi s'attendre, les constructeurs vont les sortir le plus tard possible, pour rentabiliser au maximum leurs vieilles technologies . Au moins, ça laisse plus de temps aux développeurs pour perfectionner leur technologie . C'est mieux que si elle débarquait à moitié finie sur le marché .  ;)


Message édité par Fantryt le 07-04-2009 à 12:16:39
n°6915523
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 10-04-2009 à 12:44:01  profilanswer
 

Alors qu'on croyait TSMC hors course sur son 40nm loin d'être satisfaisant, UMC vient de nous surprendre par une nouvelle quelque peu inhabituelle ; ils sont les premiers à avoir du "vrai" 40nm haut de gamme, prêt, finalisé. TSMC doit tirer la gueule...
 
 
*******
 
La gravure en 40 nm haute performance est fin prête chez UMC
Consommation en forte baisse

 
Le fondeur taïwanais UMC vient d'annoncer que son processus de gravure en 40 nm était fin prêt, avec un très bon rendement et surtout des performances en forte hausse.
 
Les premières puces en 40 nm sont sorties des usines du fondeur, munies des technologies « triple gate oxyde » et « copper/low-k » qui permettent une réduction de la consommation de 65 % par rapport aux précédentes puces en 65 nm.
 
UMC pourrait ainsi remporter un vif succès, car le processus de gravure en 40 nm du premier fondeur taïwanais TSMC semble être un demi échec selon la presse, qui rapporte que les puces obtenues n'offrent pas une baisse de consommation substantielle. Le processus 40 nm d'UMC fut mis au point indépendamment par le fondeur, il utilise la technologie de lithographie par immersion.
 
La gravure en 40 nm haute performance d'UMC est prête pour une production massive, on espère que certains concepteurs de puces vont s'empresser de l'exploiter... The Inquirer rapporte justement aujourd'hui que la puce graphique GT300 de NVIDIA sera « taped out » (finalisée) dès le mois de juin prochain...
source : http://www.pcinpact.com/actu/news/ [...] 1#c2266724
 
********
 
Le communiqué :
 
UMC Delivers Customer ICs Produced on its High Performance 40nm Logic Technology
 
HSINCHU, Taiwan, April 8, 2009 -UMC (NYSE: UMC; TSE: 2303), a leading global semiconductor foundry, today announced that it has delivered customer ICs produced on its High Performance (HP) 40nm process technology. The products were manufactured with excellent cycle time and yields for the large die-size programmable logic chips, which leveraged the foundry's triple-gate oxide, 12 metal layers and copper/low-k technology to enable 65% reduced power consumption and more than twice the density improvement over previous 65nm generation products. The advanced 40nm ICs have already begun shipping in volume to the customer's end users for product sampling.
 
S.C. Chien, vice president of advanced technology development at UMC, said, "UMC continues to remain at the forefront of semiconductor foundry technology through the timely delivery of leading-edge processes that meet the demanding requirements of today's advanced applications. The delivery of these 40nm customer products underscores this technology commitment, we look forward to bringing the performance advantages of our proven 40nm technology to even more UMC customers."
 
The high performance 45/40nm logic process is UMC's independently developed technology that utilizes advanced immersion lithography and incorporates the latest advancements such as ultra shallow junction, mobility enhancement techniques and ultra low-k dielectrics for maximum power and performance optimization. Multiple voltage and transistor options are available to satisfy the needs of a wide range of applications including high speed, low power, and analog/RF for system-on-chip designs. Currently, many customers have engaged with UMC for their 45/40nm projects, with multiple product designs in various stages of production.
 
About UMC
UMC (NYSE: UMC, TSE: 2303) is a leading global semiconductor foundry that provides advanced technology and manufacturing services for applications spanning every major sector of the IC industry. UMC's customer-driven foundry solutions allow chip designers to leverage the strength of the company's leading-edge processes, which include production proven 65nm, 45/40nm, mixed signal/RFCMOS, and a wide range of specialty technologies. Production is supported through 10 wafer manufacturing facilities that include two advanced 300mm fabs; Fab 12A in Taiwan and Singapore-based Fab 12i are both in volume production for a variety of customer products. The company employs approximately 12,000 people worldwide and has offices in Taiwan, Japan, Singapore, Europe, and the United States. UMC can be found on the web at http://www.umc.com.
   
In the USA:
UMC USA
Charlene Loveless
415-520-7850
Charlene.loveless@umc-usa.com
 
In Taiwan:
Richard Yu
(886) 2-2700-6999 ext. 6951
richard_yu@umc.com


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6915529
kaiser52
Posté le 10-04-2009 à 12:47:09  profilanswer
 
n°6916512
lubicdj
Je ne suis ce que je veux être
Posté le 10-04-2009 à 23:10:03  profilanswer
 

65% en moins ?? wow ! ca promet !


---------------
Vive les schtroumpf !! Dans notre société, il n'y aura jamais schtroumpfé autant de schtroumpf qu'en ces temps-ci. Schtroumpfons tous ensemble pour le bien de nos schtroumpfs !
n°6921256
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 15-04-2009 à 12:22:27  profilanswer
 

Andrew de TSMC a eu la gentillesse de me répondre :
 
***********
 
 
----- Original Message -----  
From: Andrew Shephard  
To: moi
Cc: TSMC team  
Sent: Wednesday, April 15, 2009 12:17 PM
Subject: Re: 40nm problem
 
Hi David,
 
I wonder if you saw this?  http://www.digitimes.com/news/a20090413PD209.html
 
Thanks for the UMC link, no I hadn't seen that, nice to see the 40nm node being generally accepted.  I'll see what I can find out regarding consumption.
 
There won't be any comment on ATI or Nvidia I'm afraid.
 
Regarding 28nm and beyond - a recession is bound to have some effect, but I hope to be able to give you an update on the strength of European R&D for advanced processes very soon.  Stand by!
 
Best regards
 
Andrew
 
*******
 
Le lien en question :
 
 
Taiwan Semiconductor Manufacturing Company (TSMC) has completed fourth-phase expansion to its 12-inch facility, Fab 12, at the Hsinchu Science Park (HSP), where production at the 40nm and 45nm nodes takes place, according to equipment makers. The recently-expanded capacity will kick off operations at an initial output of 1,000-2,000 wafers.
 
TSMC has reportedly seen an influx of orders from Altera, AMD and Nvidia.
 
Company vice-president for human resources PH Chang confirmed that TSMC is preparing to hire several hundreds of new engineers for newly-added advanced process node capacity at its 12-inch wafer facilities.
 
TSMC in November 2008 announced the foundry segment's only 40nm semiconductor manufacturing process. Industry sources estimate that the chipmaker will not see solid contributions from its 40nm General Purpose (G) and Low Power (LP) processes until the second half of 2009.
 
In other news, TSMC has announced unaudited sales for the first quarter of 2009 totaling NT$37.56 billion (NT$1.1 billion), beating its previous guidance.
http://www.digitimes.com/NewsShow/20090413PD209_files/1.jpg
http://www.digitimes.com/NewsShow/20090413PD209_files/2.gif


Message édité par super_newbie_pro le 15-04-2009 à 12:25:38

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6922182
marllt2
Posté le 16-04-2009 à 05:31:16  profilanswer
 
n°6923389
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 16-04-2009 à 21:39:40  profilanswer
 

En route vers le 28nm, << l'alliance >> y travail :
 
IBM, Samsung Electronics, STMicroelectronics, and others are teaming up on the development of next-generation chip technology for small, low-power devices with one wary eye on Intel, which is expediting its move to chips with smaller geometries.
 
IBM and its semiconductor technology alliance partners are announcing the availability of 28-nanometer (nm) chip technology, a little more than a generation beyond the 45nm technologies currently used by Intel and Advanced Micro Devices in their latest chips.
 
The first products using chips based on this technology are expected in the second half of 2010, an IBM spokesman said. Devices will include smartphones and consumer electronics products.
 
The largest, single countervailing force to the IBM-led group is Intel. The Santa Clara, Calif.-based chip giant's chief executive, Paul Otellini, said Tuesday in a first-quarter earnings conference call that Intel is "pulling in" the release of "Westmere" chips based on 32nm technology and will ship silicon later this year.
 
Generally, the smaller the geometry, the faster and more power efficient the chip is.
 
The IBM alliance--which also includes the AMD manufacturing spin-off Globalfoundries, Chartered Semiconductor, and Infineon Technologies--are jointly developing the 28nm chipmaking process based on the partners' "high-k metal gate" (which minimizes current leakage), low-power complementary metal oxide semiconductor (CMOS) process technology.
 
The technology "can provide a 40 percent performance improvement and a more than 20 percent reduction in power, in a chip that is half the size, compared with 45nm technology," IBM said in a statement. "These improvements enable microchip designs with outstanding performance, smaller feature sizes and low standby power, contributing to faster processing speed and longer battery life in next-generation mobile Internet devices and other systems."
 
IBM said customers can begin their designs now using 32nm technology and then transition to 28nm for density and power advantages without the need for a major redesign.
 
One prominent customer is U.K.-based ARM, whose basic chip design has been used in billions of devices all over the world. ARM is collaborating with the IBM alliance to develop a design platform for 32nm and 28nm technology and is tuning its Cortex processor family and future processors to exploit the technology's capabilities, IBM said.
source : http://news.cnet.com/8301-13924_3- [...] 47-1_3-0-5


Message édité par super_newbie_pro le 16-04-2009 à 21:40:57

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6930817
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 23-04-2009 à 08:05:40  profilanswer
 

TSMC sur le 22nm :
 
********
 
SAN JOSE, Calif. -- Amid one of the toughest periods in its illustrious history, Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) remains cautiously optimistic about the IC industry and vowed that it will continue to invest in R&D despite the downturn.  
 
TSMC (Hsinchu, Taiwan) plans to hire more engineers. The world's largest foundry provider also reiterated plans to equip and ramp up its 40-nm fab lines this year. It is readying new and separate 3-D and CMOS image sensor technologies. And it is also planning to move the IC-equipment in its R&D fab for the 22-nm node.  
 
Rick Tsai, president and chief executive of TSMC, reiterated industry reports that the silicon foundry giant is seeing new order activity, but he also warned that there are still challenges ahead in the market.  
 
Among those challenges include the overall economy, product demand and margin pressures. ''This recession is bad,'' Tsai said at TSMC's Technology Symposium here. ''This is a difficult time for all of us.''  
 
Indeed, it has been a humbling time for TSMC, which has seen wild growth over the years. After strong growth in the first three quarters of 2008, TSMC's business fell off the cliff in the fourth quarter of last year. In terms of an aggregate sales decline in Q4 of 2008 and projected Q1 of 2009, TSMC's revenues are projected to fall by some 60 percent, Tsai said.  
 
As a result, the company is expected to report a loss in Q1. It also recently cut about 200 jobs, implemented furloughs and slowed its wafer starts.  
 
Now, there are some positive signs for the company and the overall industry. Inventories are low. Activity in China is picking up. ''We are seeing what we call rush orders,'' Tsai said.  
 
Still, the overall IC market is expected to fall in 2009. ''We will see a dip in 2009,'' he said. ''We will see moderate growth in 2010.''  
 
Nonetheless, TSMC plans to innovate and invest its way out of the downturn, he vowed. Here are some of the company's plans:  
 
Invest or bust
 
1. Now, the company has about 1,200 R&D process engineers. Going forward, it wants to hire 30 percent more R&D engineers.  
 
2. Separately, TSMC has 600 engineers that are focused on IC design issues. Going forward, it wants to hire 15 percent more in this arena.  
 
3. In May, Intel Corp. said it will port unspecified Atom processor cores to TSMC's technology platform including processes, IP, libraries and design flows under the terms of an agreement between the two companies.  
 
4. Seeking to accelerate the product development process, TSMC this week rolled out a one-two punch in the arena: It has unveiled a mixed-signal/RF design kit as well as a foundry-specific integrated sign-off flow.  
 
5. The company this week rolled out a trio of of ''platforms.'' Based on third-party IP and TSMC's libraries, the first ''platforms'' are geared for RFID, smart-card and solid-state drive (SSDs) applications.  
 
6. TSMC is developing a new CMOS image sensor process for 2-, 5-, and 8-megapixel designs. It has a new 0.11-micron process.  
 
7. TSMC plans to have its 3-D efforts in place. By June, it will ready its 300-mm fab for thru-hole-silicon (TSV) applications.  
 
8. TSMC is moving forward with the phase 4 part of its Fab 12 plant, which is based in Hsinchu. As previously reported, the company plans to equip the fab for use in its recently-announced 40-nm process.  
 
9. In Fab 12, it plans to move the R&D equipment for its 22-nm node, which is under development. Fab 12 also serves as an R&D center.  
 
At present, the company is ramping up its 40-nm process. It is also on track with its latest 28-nm process, said Jack Sun, vice president of R&D at TSMC. Based on high-k and metal gates, the recently-introduced 28-nm process is due out in the first part of 2010. TSMC has not disclosed the exact details of its high-k technology.  
 
In comparison, IBM Corp.'s ''fab club'' said that its high-k and metal gate technology for 32-nm is still on track and slated for the second half of this year. The group also recently rolled out its 28-nm process. The group's 28-nm, low-power technology evaluation kit was made available in December of 2008 to early access clients, followed by release in March of 2009 of an evaluation kit for open access to the general marketplace. Early ''risk production'' for the 28-nm technology is anticipated in the second half of 2010.  
 
Meanwhile, TSMC is currently looking at the various options for 22-nm. Like 28-nm, the company's 22-nm process will be based on 193-nm immersion lithography, copper interconnects, ultra-low k and its second-generation high-k technology. It dropped hints that its high-k would have an equivalent oxide thickness (EOT) of 0.7-nm.  
 
TSMC plans to roll out its 22-nm process ''two years after 32-nm,'' Sun said. TSMC is set to ship 32-nm by the end of 2009. Its 28-nm process is due out in the first part of 2010.  
 
source : http://www.eetimes.com/news/latest [...] =216900470
 
*******
 
De son côté AMD annonce des puces à 16 cores : http://www.theinquirer.net/inquire [...] core-chips


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6931899
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 23-04-2009 à 21:19:49  profilanswer
 

SRAM en 22 nm !!
 
LONDON — Research institute IMEC (Leuven, Belgium) has presented what it claims is the world's first functional 22-nm CMOS SRAM cells made using EUV lithography. The SRAM cells are made with FinFETs and have both the contact and metal-1 layer printed using a full-field extreme ultraviolet (EUV) alpha demo tool from ASML.  
The ultra-small circuit structures were made using Applied Material's most advanced deposition systems. These results were presented during IMECs core partner review week, which was attended — despite the economical downturn — by 250 experts representing IMEC's industrial partners in its CMOS scaling program.  
 
IMEC works with Intel, Micron, Panasonic, Samsung, TSMC, Elpida, Hynix, Powerchip, Infineon, NXP, Qualcomm, Sony and STMicroelectronics  
 
The SRAM cell has an area of 0.099 square microns, representing a 47 percent area scaling compared to the 0.186 square microns of IMEC's 32-nm cell reported last year. For the front-end-of-line process, IMEC used its high-k/metal-gate FinFET platform. The FinFETs consist of hafnium dioxide as dielectric and titanium-nitride as metal gate and nickel-platinum silicide for the source/drain. The minimum active FIN pitch is 90nm. The FinFET layers were printed using ASML's 1900i immersion lithography tools. The metallization of the contact holes was realized using Applied Materials most advanced contact processing modules for inter-layer barrier Ti and TiN before tungsten fill and chemical mechanical polishing.  
 
Compared to the 32-nm cell, where only the contact holes were printed with the EUV tool, IMEC now used ASML's alpha demo tool (ADT) to pattern both the contact with a size of approximately 45-nm and metal-1 layers (60-nm width and 46-nm spaces). The single patterning approach strengthens the case for EUV as a cost-effective lithography solution, according to IMEC.  
 
"Our successful fabrication of 22-nm SRAM cells with EUV is an important milestone, both for the development of 22-nm processes, and for the roadmap of EUV lithography," said Luc Van den Hove, chief operating officer at IMEC, in a statement.  
 
 
 
"This SRAM cell integration shows that EUV photo process technology is making excellent progress as a cost-effective single patterning approach. We believe that EUV remains a candidate for use in the later stages of the 22-nm technology."  
 
Van den hove added: "Key to the success of our research is the presence at IMEC of leading tool suppliers allowing us to use the most advanced tools, the collaborative research of our staff and the on-site residents from IDMs, foundries, equipment and material suppliers, and the support and funding of the EC program PULLNANO. With such concerted collaborations, the semiconductor industry is able to keep innovating and to follow Moore's momentum."  
 
source : http://www.eetimes.com/news/latest [...] =217000214
 
Vive la technologie !!!
 
**********************
 

marllt2 a écrit :

Voilà un slide de TSMC qui explique le 45 vs 40 nm: http://www.tsmc.com/download/engli [...] nology.pdf
 
Bref, point de renommage, c'est un peu plus compliqué que ça.
 
 :hello:  
 


 
*********************
 
30nm chez samsung :
 
À l'heure où  les fabricants de cartes graphiques bataillent sur le 40 nm, Samsung Electronics annonce la mise en vente d'une carte mémoire de 32 Go gravée en... 30 nm ! Elle abrite pour ce faire huit puces de mémoire moviNAND à la densité record de 32 Gb chacune.
 
D'après Samsung, ce nouveau procédé de gravure aurait permis de doubler la capacité par rapport à la précédente génération. La précédente génération de cartes mémoires moviNAND fait appel à une finesse de gravure de 40 nm et plafonne par conséquent à la capacité maximale de 16 Go. Samsung devrait toutefois décliner sa puce 30 nm de 32 Gb pour la production de cartes mémoires de 16, 8 et 4 Go.
 
Ces puces sont destinées aux téléphones portables et aux dispositifs mobiles hauts de gamme, les premières annonces de produits tirant parti de ces nouvelles puces étant attendues prochainement. D'après une étude, la demande de puces d'une telle capacité devrait augmenter significativement en 2013, date à laquelle trois quarts des cartes mémoires commercialisées feront au moins 32 Go.  source http://www.clubic.com/actualite-27 [...] 30-nm.html


Message édité par super_newbie_pro le 13-05-2009 à 07:00:07

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°6931970
Fantryt
Posté le 23-04-2009 à 22:07:45  profilanswer
 

Vive les news en Anglais !  :sarcastic:  
C'est possible de faire un tout petit résumé compact et explicite à la fin de tes messages ? Parce que là, à chaque fois, tu nous livres un gros pavé en Anglais et c'est difficile de décoder tout ça pour les gens comme moi qui ont quelques difficultés à s'initier aux langues étrangères ...  ;)

n°6931985
Profil sup​primé
Posté le 23-04-2009 à 22:14:57  answer
 

Intéressant tout ca !

n°6947359
Fantryt
Posté le 06-05-2009 à 17:58:38  profilanswer
 

http://www.presence-pc.com/actuali [...] tor=RSS-11
 
La PRAM va enfin être une réalité
Samsung a annoncé qu’il allait commencer la production en masse de sa PRAM au mois de juin prochain.
          http://media.bestofmicro.com/PRAM-Mbit-Samsung,C-M-454-1.jpghttp://m.bestofmedia.com/i/presencepc/design/loupe.gifZoom
PRAM
La PRAM ou Phase-Change RAM se nomme aussi PCM ou Chalcogenide RAM[C-RAM]). On parle aussi d’Ovonic Unified Memory en raison du chercheurqui a initialement œuvré pour cette technologie, Standford Ovshinsky.Il travaillait pour Energy Conversion Devices qui donna naissance àOvonix, la firme crée spécialement pour la conception de la PRAM. Cettemémoire utilise les propriétés du verre chalcogènide qui passe del’état cristallin à un état amorphe en fonction de la chaleur.Lorsqu’il est amorphe, le verre chalcogènide possède une très granderésistance électrique et représente la valeur 1 en langage binaire.L’état cristallin est l’exact opposé et représente la valeur 0. Pourconnaître l’état de chaque bit, un courant très faible etn’occasionnant que très peu de pertes énergétiques est envoyé pourdifférencier les résistances.
La PRAM pourrait remplacer la mémoire Flash
Cette mémoire gère 100 millions de cycles de lecture-écriture etpeut conserver des données pendant plus 10 ans. Elle est aussi trentefois plus rapides que de la mémoire flash, en théorie, car l’écritured’un bit ne demande pas que l’on efface le contenu de la cellule avantl’écriture de la nouvelle donnée.
La PRAM devient une réalité
Samsung avait annoncé l’année dernière qu’il commercialiserait cette mémoire en 2009 (cf. « Samsung : de la PRAM en 65 nm dès 2009»). On est encore loin de remplacer la mémoire flash, mais celapropulse la PRAM sur le devant de la scène. La fabrication de cettemémoire aura lieu dans les usines 200 mm du Coréen. Reste maintenant àvoir avec quelle rapidité cette mémoire sera intégrée dans les produitsgrand public qui utilisent aujourd’hui de la mémoire flash.

mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR