Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1839 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°7301631
Zack38
Posté le 24-01-2010 à 11:59:45  profilanswer
 

Reprise du message précédent :
En gros : tu places un espèce de tube fermé en bas sur le CPU . La fermeture du tube est évidemment très conductrice thermique . Et après on remplit le tube avec soit de l'azote liquide, soit de l'hélium liquide, soit de la neige carbonique ... et le truc blanc, c'est un matériau isolant qui a pour objectif d'isoler le tube de son environnement le plus possible .
Avec un tel truc, le CPU est refroidi à -100°C, -180°C, voire 260°C . Et dans ces conditions, il est possible de l'overclocker jusqu'à des fréquences inatteignables avec l'Aircooling ou le Watercooling ...

mood
Publicité
Posté le 24-01-2010 à 11:59:45  profilanswer
 

n°7301639
saian78
Dyslexics have more fnu!
Posté le 24-01-2010 à 12:04:19  profilanswer
 

merci ;)

n°7312739
Zack38
Posté le 02-02-2010 à 09:45:31  profilanswer
 

Des dies n’utilisant que du carbone
 
Des chercheurs du centre electro-optics de l’Université de Pennsylvanie ont affirmé avoir perfectionné une méthode de fabrication permettant d’obtenir des wafers de 100 mm constitués uniquement de feuilles de graphènes.
 
Faire disparaître le silicium
 
Selon les scientifiques, une telle puce pourrait être 100 à 1 000 fois plus rapides que son alter ego en silicium, en raison du fait que les électrons traversent plus rapidement le premier matériau. Pour arriver à leurs fins, ils ont développé une technique de fabrication appelée « sublimation de silicium », qui retire thermiquement une grille de silicium qui va servir de motif pour le graphène, ne laissant derrière que le carbone pur sur lequel les chercheurs fabriquent des transistors à effet de champ.
 
Un pied en dehors des laboratoires
 
Le centre de défense naval de Philadelphie travaille en étroite collaboration avec les Universitaires pour la création de puces utilisant cette technologie et des prototypes sont déjà sortis d’usines. On note aussi qu’Intel a récemment fait une donation au laboratoire de nanofabrication de l’Université.
 
Les chercheurs espèrent arriver à porter leurs techniques sur des wafers de 200 mm, qui sont largement utilisés dans les usines actuelles. Un tel succès permettrait au graphène de remplacer le silicium et donner des puces atteignant le THz.

n°7312743
mdc888
01010100 01101111 01110101 011
Posté le 02-02-2010 à 09:50:08  profilanswer
 

Zack38 a écrit :

. Un tel succès permettrait au graphène de remplacer le silicium et donner des puces atteignant le THz.


 
A le vieux mythe du Thz qui revient...  :love:  
On parle bien ici du Thz avec une conso électrique équivalent à nos proco actuels ?

n°7312749
Zack38
Posté le 02-02-2010 à 09:53:15  profilanswer
 

mdc888 a écrit :


 
A le vieux mythe du Thz qui revient...  :love:  
On parle bien ici du Thz avec une conso électrique équivalent à nos proco actuels ?


 
Tout à fait . ;)  
 
Par contre, je pense qu'il reste encore beaucoup de travail à faire concernant la rentabilité de la production (changer de matériaux contraint en général à changer de matériel, et tu n'es pas sans savoir que les robots industriels coûtent terriblement cher . Intel a dû débourser plusieurs milliards de dollars pour équiper ses usines afin qu'elles soient aptes à produire des wafers en 32nm ... et sans changer de matériaux .) .

n°7317048
Zack38
Posté le 05-02-2010 à 17:49:45  profilanswer
 
n°7319560
Zack38
Posté le 07-02-2010 à 19:07:23  profilanswer
 

J'ignore si ça avait déjà été posté, mais : http://www.ted.com/talks/pranav_mi [...] ology.html
 
C'est la vidéo d'une conférence sur le SixthSens, une technologie qui promet de révolutionner l'interaction homme/machine . A voir, avec les sous-titres fr s'il vous plaît .

n°7320007
Zack38
Posté le 08-02-2010 à 08:51:37  profilanswer
 

Décidément, on n'arrête pas le progrès ...
 
Le transistor en graphène le plus rapide
 
IBM a annoncé avoir fabriqué le transistor en graphène le plus rapide au monde pouvant atteindre les 100 GHz.
 
Les transistors en graphène sont une réalité
 
Utilisant un procédé de fabrication similaire à ce qui existe déjà dans les usines actuelles pour la fabrication de puces classiques, le graphène est une couche de carbone d’une épaisseur d’un atome possédant une structure en nid d’abeille. Il a le mérite d’avoir de très bonne performances en raison de ses propriétés électriques. IBM espère convaincre que les puces en graphène peuvent devenir une réalité pour le grand public. Les chercheurs sont en tout cas très intéressés, et on se souvient des travaux par l’Université de Pennsylvanie (cf. « Des dies n’utilisant que du carbone »)
 
On peut utiliser autre chose que du graphite
 
La longueur de la grille est de 240 nm, ce qui signifie qu’IBM a pas mal de marge pour passer à une finesse plus importante et rendre ses puces encore plus performantes. Big Blue a en tous les cas déjà montré que l’on utiliser du graphène d’origines différentes. Contrairement à ce qu'avancent certains experts qui ne jurent que par des extraits de graphite, IBM a réussi son exploit à partir d’un substrat de carbure de silicium.


Message édité par Zack38 le 08-02-2010 à 08:51:47
n°7321351
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 09-02-2010 à 00:10:54  profilanswer
 

Curieuse annonce... :
 

Citation :

Les groupes informatiques américains IBM et Intel ont chacun présenté lundi de nouveaux processeurs surpuissants :??: , le premier intégrant ce nouveau produit à une nouvelle offre intégrée de serveurs.

Source : boursorama ==> http://www.boursorama.com/infos/ac [...] 2c3cd0232b
 
EDIT ; la seule news trouvée est ça http://news.cnet.com/8301-13924_3-10448804-64.html mais ça date du 7 alors que l'annonce ci-dessus aurait été faite le 8...


Message édité par super_newbie_pro le 09-02-2010 à 00:19:47

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7321363
M16
Posté le 09-02-2010 à 00:30:38  profilanswer
 

c'est pas un truc avec de la nano dedans par hasard?

mood
Publicité
Posté le 09-02-2010 à 00:30:38  profilanswer
 

n°7322351
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 09-02-2010 à 22:17:15  profilanswer
 

Je ne sais pas... Sinon Intel parle vaguement de ses projets : http://www.pcworld.fr/2010/02/09/m [...] rs/473441/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7331230
Zack38
Posté le 17-02-2010 à 11:13:08  profilanswer
 

Un processeur qui évolue avec le temps
 

Citation :

Intel a présenté ses recherches sur un processeur aux performances 41 % supérieures pour la même consommation d’énergie, grâce à un système qui s’adapte en fonction de l’âge des transistors et de la température.


 
Mieux vaut prévenir que faire jouer la garantie
 

Citation :

Le principe est simple et repose sur le fait que les performances d’un processeur sont déterminées, entre autres, par sa fréquence de fonctionnement. Pour limiter les risques d’erreur, le CPU est restreint à une tension et une fréquence capable de répondre à toute sorte de situations comme une baisse soudaine de la tension, une surchauffe du processeur ou une dégradation des circuits. C’est d’ailleurs le fondement même de l’overclocking, ce sport de passionnés qui joue sur le fait qu’un processeur peut souvent monter à une fréquence plus haute que celle annoncée, les constructeurs souhaitant avant tout jouer la carte de la sécurité en limitant les performances de leur puce pour qu’elle puisse affronter les situations les plus exceptionnelles.


 
Un CPU sans limites
 

Citation :

Le nouveau processeur « endurant » d’Intel a la particularité de ne pas disposer de limites inhérentes de fréquences ou tension. Le CPU tourne automatiquement à la fréquence la plus importante possible et la tension la plus basse possible. Pour que cela soit possible, Intel a rajouté un système de détection d’erreur aux caches (instructions et données) et à l’unité d’exécution. Ces systèmes communiquent leurs résultats à un centre de contrôle qui va aider le processeur à répondre aux erreurs en adaptant sa fréquence et sa tension et exécuter les commandes qui n’ont pas pu être lancées.


 
Un overclocking / downclocking constant
 

Citation :

Ces systèmes de détection d’erreur consomment des cycles d’horloge, mais dans les faits, le gain en puissance compense et permet même d’obtenir des performances supérieures.
 
Ces recherches sont loin d’être nouvelles, la firme ayant déjà partagé ses résultats en septembre 2009. À l’époque, Intel faisait la démonstration d’un processeur alimenté avec 1 V tournant à 1,3 GHz. L’avantage de ce système est que les corrections d’erreurs et les ajustements apportés au CPU sont automatiques et constants. Pour faire simple, on a affaire à un système qui ne cesse d’overclocker et downclocker le processeur à chaque instruction.
 
Les processeurs endurants d’Intel sont pour l’instant cantonnés aux laboratoires, mais la firme a récemment étendu la portée de son système de détection et correction d’erreur et se rapproche de l’intégration de cette technologie dans des processeurs commercialisables.


 
Source : Intel

n°7331234
Zack38
Posté le 17-02-2010 à 11:15:16  profilanswer
 

A mon avis, ce genre de technologie sera implémentée dans Haswell (ce sera la fameuse "technologie révolutionnaire d'économie d'énergie" ) .

n°7331446
Profil sup​primé
Posté le 17-02-2010 à 14:28:45  answer
 


Citation :

Intel a présenté ses recherches sur un processeur aux performances 41 % supérieures pour la même consommation d’énergie, grâce à un système qui s’adapte en fonction de l’âge des transistors et de la température.Mieux vaut prévenir que faire jouer la garantie
 

Citation :


 
Le principe est simple et repose sur le fait que les performances d’un processeur sont déterminées, entre autres, par sa fréquence de fonctionnement. Pour limiter les risques d’erreur, le CPU est restreint à une tension et une fréquence capable de répondre à toute sorte de situations comme une baisse soudaine de la tension, une surchauffe du processeur ou une dégradation des circuits. C’est d’ailleurs le fondement même de l’overclocking, ce sport de passionnés qui joue sur le fait qu’un processeur peut souvent monter à une fréquence plus haute que celle annoncée, les constructeurs souhaitant avant tout jouer la carte de la sécurité en limitant les performances de leur puce pour qu’elle puisse affronter les situations les plus exceptionnelles.


Un CPU sans limites
 

Citation :

Le nouveau processeur « endurant » d’Intel a la particularité de ne pas disposer de limites inhérentes de fréquences ou tension. Le CPU tourne automatiquement à la fréquence la plus importante possible et la tension la plus basse possible. Pour que cela soit possible, Intel a rajouté un système de détection d’erreur aux caches (instructions et données) et à l’unité d’exécution. Ces systèmes communiquent leurs résultats à un centre de contrôle qui va aider le processeur à répondre aux erreurs en adaptant sa fréquence et sa tension et exécuter les commandes qui n’ont pas pu être lancées.


Un overclocking / downvolting constant
 

Citation :

Ces systèmes de détection d’erreur consomment des cycles d’horloge, mais dans les faits, le gain en puissance compense et permet même d’obtenir des performances supérieures.
 
Ces recherches sont loin d’être nouvelles, la firme ayant déjà partagé ses résultats en septembre 2009. À l’époque, Intel faisait la démonstration d’un processeur alimenté avec 1 V tournant à 1,3 GHz. L’avantage de ce système est que les corrections d’erreurs et les ajustements apportés au CPU sont automatiques et constants. Pour faire simple, on a affaire à un système qui ne cesse d’overclocker et downclocker le processeur à chaque instruction.
 
Les processeurs endurants d’Intel sont pour l’instant cantonnés aux laboratoires, mais la firme a récemment étendu la portée de son système de détection et correction d’erreur et se rapproche de l’intégration de cette technologie dans des processeurs commercialisables.


Source : Intel


 
l'o/c n'aura plus aucune raison d'être :o
et ne sera plus un argument de vente pour untel :lol:

n°7333884
Zack38
Posté le 19-02-2010 à 12:02:14  profilanswer
 

En effet, l'Overclocking disparaîtra à partir du moment où les CPU pourront fonctionner de manière asynchrone ... et, remarque, c'est pas plus mal comme ça . Perso, je préfère amplement un CPU asynchrone capable de s'adapter en temps réel pour avoir le meilleur rapport MHz/V ... :love:

n°7341703
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 24-02-2010 à 23:44:35  profilanswer
 
n°7341897
Zack38
Posté le 25-02-2010 à 08:27:34  profilanswer
 

J'ai pas compris le sens de ta question, super_newbie_pro .
 
Et puis, OSEF de ta news, en 2020 on aura des générateurs d'électricité à fusion nucléaire :sol:

n°7347654
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 01-03-2010 à 14:44:45  profilanswer
 

TSMC 40nm yield issues explained
28nm coming this year, 22nm in Q3 2012

 
TSMC HAS FINALLY come to terms with its 40nm ordeal. In a transcript published by EETimes, Shang-Yi Chiang, TSMC’s R&D boss, laid out six bullet points to explain the situation the company is coming from, what steps it’s taking to make amends and where it's going.
 
The 40nm yield issues were blamed on the company’s previous lack of experience with the new, smaller node. Without going into too many specifics, Chiang explained the delay in achieving a viable yield was due to it using 193nm shrink immersion on the wafers, which resulted in a high defect rate, and also its low-K process that would damage the dies when converted into a package. TSMC is looking at extreme ultraviolet and e-beam direct write as alternatives to shrink immersion depending, of course, on cost.
 
Having its clients breathing down its neck couldn’t have been easy. TSMC immediately started developing its 3rd generation manufacturing process on the node. Currently, output for 40nm wafers is 80,000 wafers per quarter, as only Fab 12 is manufacturing these. However, the company vowed it will be able to double that by year’s end, that is, 160,000 wafers per quarter, once Fab 14 is up and running.
 
He then moved on to explaining what the company plans to do next with its 28nm roadmap. It will start with a 28nm Low Power (28LP) silicon oxynitride, followed a quarter later by the 28nm high performance (28HP) high-K metal gate process. Despite it being the first high-K metal-gate process, Chiang believes that TSMC will be able to migrate the technology as it moves forward with 22nm and 20nm nodes. 28nm should be introduced by Q3 2010, we garnered.
 
Further along the roadmap comes the aforementioned 22nm node. Chiang expects to see a more mature second-generation high-K metal-gate process kick in. The first 22nm process will be a high-performance one (22HP), followed 2 quarters later by the low-power version (22LP). These should be in place by Q3 2012 and Q1 2013, respectively.
 
Considering TSMC’s major clients are the likes of AMD, Nvidia, Broadcom and Qualcomm. not to mention Intel, it seems that it's taking fairly important measures to reassure its clientele about its manufacturing. Of course we should expect a reaction from Global Foundries soon, as it are developing competing processes in their own house.
 
So, if you’re a GPU buff, late 2010 or very early 2011 would be a good estimate for a new generation of GPUs. That, or smartphones. We'll have to wait and see. Source : http://www.theinquirer.net/inquire [...] -explained


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7360152
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 10-03-2010 à 12:39:51  profilanswer
 

superbe découverte  :love:  
 
Un nouveau matériaux pour aspirer la chaleur du CPU/GPU

Citation :

Les polymères, généralement utilisés comme isolants en électronique, ont trouvé une nouvelle application avec une récente recherche du Massachussets institute of technology (MIT). Une équipe de ce centre universitaire de recherche américain vient de transformer le polyéthylène, le plus répandu des polymères, en matériau isolant électrique mais conducteur de chaleur.
 
La nouvelle est de taille pour l'avenir du calcul numérique, et donc pour nos processeurs. Le nouveau processus conduit très bien la chaleur, mais à sens unique, contrairement aux métaux qui la répandent dans toutes les directions. Ce matériau va donc être utile pour évacuer la chaleur des CPU.
 
La fibre développée est 300 fois plus conductrice de chaleur qu'un polyéthylène normal. Elle pourrait être utilisée dans de nombreuses applications où les métaux sont la norme : collecteurs d'eau chaude solaires, échangeurs de chaleur et électronique.
 
Selon Gang Chen, le responsable du programme, la plupart des recherches pour créer des polymères conducteurs ont mis l'accent sur la combinaison avec les nanotubes de carbone. Les résultats n'ont pas été probants car les zones d'échange entre les deux matériaux favorisaient la résistance thermique, diminuant d'autant les gains de conductivité. Le nouveau polymère, lui, aurait une meilleure conductivité que la majorité des métaux purs, y compris le fer et le platine.
 
L'équipe devra maintenant prouver que la mise en place d'applications pratiques est aisée. Elle a produit jusqu'ici des fibres individuelles en laboratoire, mais Gang Chen espère « évoluer jusqu'à une échelle macro » en développant des feuilles entières de matériau avec des propriétés similaires. Les réactions sont positives, notamment chez le fondeur Intel, dont l'ingénieur Ravi Prasher estime que c'est « une conclusion très importante ». Il pose néanmoins une question : « est-il facile d'intégrer ces fibres dans des applications du monde réel ? » Quant à parler d'une date de commercialisation de cette technologie, il ne faut pas trop espérer : le MIT précise n'en être qu'à la phase de recherche.
 
http://img.clubic.com/photo/02989710.jpg

source : http://www.clubic.com/actualite-32 [...] r-cpu.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7360157
Geekeeta
Et mon avenir, il est "aheu" ?
Posté le 10-03-2010 à 12:43:49  profilanswer
 

:ouch: Ça fait rêver...


---------------
L'ennemi est bête : il croit que c'est nous l'ennemi alors que c'est lui ! [Pierre Desproges] Rochmedia - Morrowind et Oblivion Stargate
n°7360721
rienderien
Posté le 10-03-2010 à 18:40:37  profilanswer
 

Ce qui est frustrant dans ce genre de nouvelles c'est que c'est toujours prévu pour dans très longtemps.
On annonce souvent des trucs intéressants au grand public mais on a l'impression que ça n'aboutit jamais. Les chercheurs continuent sûrement leurs travaux mais on entend jamais parler de leur vraie application.
Je sais pas si c'est clair mais c'était juste pour partager ma frustration. :D


---------------
http://www.wat.tv/audio/laicite-1wu6_86ls_.html
n°7361107
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 11-03-2010 à 00:53:42  profilanswer
 

Comment ca se passe niveau propriété intellectuelle quand ce sont des structures comme le MIT ou le CNRS qui font ce genre de découverte ? Y a-t-il des brevets de déposés ? Si oui à qui appartiennent ils ? L'état ? Les trouvailles sont elles fournies, "offertes" aux sociétés ?


Message édité par super_newbie_pro le 11-03-2010 à 00:54:15

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7366064
Zack38
Posté le 15-03-2010 à 11:00:00  profilanswer
 

Globalfoundries et le 22 nm à Dresde
 

Citation :

Globalfoundries prépare la production en masse de wafers gravés en 22 nm dans sa Fab 1 de Dresde, en Allemagne, autrement connu sous le nom de Fab 30 et 36 sous l’ère AMD.
 
Initialement, cette finesse de gravure était censée être prise en charge ... lire la suite

n°7369545
Zack38
Posté le 18-03-2010 à 09:21:25  profilanswer
 

Un CPU aux molécules qui s’auto-assemblent
 

Citation :

Des chercheurs de MIT ont publié les résultats de leurs travaux portant sur l’autoassemblage de molécules pour remplacer les méthodes photolithographiques classiques pour fabriquer une puce.
 
Pour être toujours plus petit
 
Le but serait de pouvoir continuer à utiliser des finesses de gravure plus importantes sans être restreints par les limites liées à l’utilisation d’un rayon. L’une des hypothèses envisagées est la lithographie par faisceau d'électrons. Néanmoins, cette technique est aujourd’hui lente et chère.
lire la suite ...

n°7373221
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 21-03-2010 à 15:24:28  profilanswer
 

Nom d'un canard plumé...  [:flambi:2]  :
 
***********
 
GlobalFoundries produces zero defect wafers
 
Recently, we took a trip to Dresden to talk with GlobalFoundries executives and visit the clean room facility known as Fab 1 Module 1  [former Fab 36 from AMD days]. We'll publish a more in-depth coverage in the next day or two but there is one major thing we have to report - even though press relations folk won't be pleased with us. We spoke with Udo Nothelfer, VP of GlobalFoundries and General Manager of Fab 1, Subramani Kengeri [VP of Design Solutions] as well as partners from AMTC and Open Silicon.
 
http://www.brightsideofnews.com/Data/2010_3_17/GlobalFoundries-produces-zero-defect-wafers-100-percent-yield/GlobalFoundries_Udo_Nothelf.jpg
Udo Nothelfer, GM of Fab 1 was certain at the strengths his company can offer in the foundry business
 
During our clean room tour, in which BSN* had privy to walk around the facility and see everything, including 32nm and 28nm wafers, we also took a good look onto the displays in the facility, looking around at wafers and the results of our somewhat limited inquiry were astonishing, to say the list. We knew that AMD traditionally enjoyed higher yields than the rest of semiconductor industry, including the manufacturing giants such as Intel. In fact, it was a sobering surprise hearing from well respected semiconductor journalists the lack of the yield information from companies in the foundry business, when that information is accessible through analyst firms.
 
 
http://www.brightsideofnews.com/Data/2010_3_17/GlobalFoundries-produces-zero-defect-wafers-100-percent-yield/GlobalFoundries_32nm_Wafer.jpg
Look and behold - 32nm SOI, 2nd Gen immersion litho, 1st Gen HKMG with a test pattern
 
Getting back on the subject, we were checking several things - 32nm SOI test pattern, 28nm Bulk test pattern and mass-produced quad-core and sexa-core dies. Even though we only saw couple of hundred wafers, the number of defects was well, surprisingly low and in orders of magnitude better than some other clean room facilities we had privilege visiting. Naturally, we do not have specific information about the overall yields on the parts, as we only saw few hundred out of 35,000 wafer starts per month.
 
For instance, on 28nm bulk CMOS test sample, we saw three wafers that had several dozen defects, but those defects would still result in a yield substantially higher than what TSMC is able to achieve with its 40nm process [this sadly, confirms the tale of GlobalFoundries being ahead of its customers].
 
http://www.brightsideofnews.com/Data/2010_3_17/GlobalFoundries-produces-zero-defect-wafers-100-percent-yield/GlobalFoundries_28nm_Wafer.jpg
28nm Bulk Silicon with a complex test pattern
 
Overall, we saw low amount of discarded dies and several hundred "good to go" ones. Naturally, just as if the silicon is perfect does not mean that 100% of the chips from that wafer will come to life. Yet, we were told that the number of working dies per wafer is "industry leading".
 
What was interesting was noticing multiple "zero-defect wafers", i.e. wafers that had 100% yield.  [:delarue]  We saw multiple 100% yielding wafers with commercial products as well as wafers with less than 10 defects. The term "German precision" definitely applies here. In a world where a transistor is mere 3-7 atoms thick, seeing a zero-defect wafer is still very much surprising. Seeing several hundred billion of perfect transistors, consisting only out of few Si atoms each, one cannot but marvel at the way how semiconductor industry developed. We often take things for granted and discuss about millions and even billions of transistors, but even at what we can freely call the most advanced foundry in the world, defects do happen.
 
Yes, we did not expect to see a perfect wafer ever, and back at the day, engineers were telling us such thing is borderline impossible. After all, Gene Amdahl's revolutionary company went bust with the ill-fated idea to put a mainframe processor on a single wafer. Personally, I have the luck of owning a wafer from Trilogy Systems, serving as an everyday reminder that it is "fucking hard" to manufacture complex ASIC designs such as Fermi, as Ujesh Desai of nVidia [in]famously stated during GPU Technology Conference.
 
Now that GlobalFoundries is decoupled from AMD, the technologies and procedures GlobalFoundries uses are available to its respectable customers and we're not surprised to see ARM, Qualcomm, STMicro and others coming to GlobalFoundries in droves. After all, if GlobalFoundries can take yield levels of current 45nm SOI process and achieve them on both SOI and Bulk CMOS silicon wafers, a very high bar will be placed for any discussion where high-end chips can safely be manufactured in the future.
 
Stay tuned.
*************
source : http://www.brightsideofnews.com/ne [...] yield.aspx
 
Vous en pensez quoi ?  :heink:


Message édité par super_newbie_pro le 21-03-2010 à 15:31:54

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7373280
hydrogen2
Posté le 21-03-2010 à 16:33:57  profilanswer
 

C'est super cool, que les gens qui parcourent le net, ramènent leurs trouvailles pour le faire partager aux autres ici. je sais aussi combien il est pratique de tout copier, mais quand même il faut reconnaitre que ce n'est pas très respectueux des gars qui se donnent la peine de faire des sites, sans compter que la copie d'images ça consomme les ressources du serveur du site a l'origine de la news alors que lui ne voit aucune des personnes qui voient ses photos :(.
 
C'est la dernière fois que je vais une remarque, car je ne suis pas là pour juger les autres  :ange: , mais quand même si on pouvait faire un effort comme par exemple Zack38 fait, avec "un lire la suite" +  "le lien" + "sans photos" pomper directement du site pour éviter de lui prendre de sa bande passante ça serait plus honnête.
 
Concenant GF, ça fait des semaines que j'entends parler de leurs supers résultats, blablabla, mais quand verra t'on des chips de la mort qui tue sortir de chez eux, ou il y en a déjà ?

n°7373928
Zack38
Posté le 22-03-2010 à 08:28:05  profilanswer
 

Tout ce que l'on sait par la news de super_newbie_pro, c'est que Globalfoundries ne produit aucun die défectueux . Et c'est une excellente nouvelle, cela signifie que la firme a su perfectionner son process à tel point que le rendement atteint 100% ...
 
Mais j'avoue également que j'ai un doute . :heink:

n°7374064
Zack38
Posté le 22-03-2010 à 10:57:47  profilanswer
 

Les équipementiers d’Intel pour le 22 nm
 

Citation :

ASML et Nikon seront les deux équipementiers d’Intel pour la gravure de puces en 22 nm.
 
Un investissement important
 
Cela veut non seulement dire qu’Intel est en train de finaliser ses choix technologiques et que les premiers prototypes devraient bientôt faire leur apparition, mais aussi que contrairement au 32 nm, où Intel n’avait utilisé que des machines Nikon, la firme revient à une configuration identique à celle utilisée pour le 45 nm. lire la suite

n°7374066
Zack38
Posté le 22-03-2010 à 10:59:12  profilanswer
 

Novellus et IBM pour des semiconducteurs 3D
 

Citation :

Novellus et IBM ont annoncé s’associer pour combiner leurs technologies et parfaire les procédés permettant la fabrication de semiconducteurs 3D sur tranches dites TSV (Through Silicon Via).
 
Les technologies de Novellus
 
Through Silicon Via désigne une connexion électrique verticale traversant complètement le die. C’est une technologie fondamentale pour la création de package 3D. Novellus va apporter ses connaissances en galvanoplastie, un procédé qui permet de déposer une substance métallique depuis un liquide sur la surface d’un matériau grâce à un courant électrique continue. lire la suite

n°7374098
Gigathlon
Quad-neurones natif
Posté le 22-03-2010 à 11:31:22  profilanswer
 

Zack38 a écrit :

Tout ce que l'on sait par la news de super_newbie_pro, c'est que Globalfoundries ne produit aucun die défectueux . Et c'est une excellente nouvelle, cela signifie que la firme a su perfectionner son process à tel point que le rendement atteint 100% ...
 
Mais j'avoue également que j'ai un doute . :heink:


C'est plutôt que malgré le côté aléatoire et difficile ils ont réussi à sortir des galettes sans le moindre défaut, c'est pas systématique :o
 
D'ailleurs ça doit être tellement courant que bien peu des dies de ces galettes parfaites doivent être utilisés, au point de les garder comme illustration de la qualité de leur travail [:gratgrat]  
 
 


Pas clair cet article... ils n'ont utilisé que du matériel Nikon qui n'a pas la fonction du matériel ASML pour le 32nm?!? Il y a fort à parier que le matos ASML soit simplement mis à jour 1 process sur 2...
 
Idem concernant les 5000 wafers 22nm qui devraient sortir des usines fin 2010 alors qu'ils n'auront le matos (et encore, seulement 50%) que fin 2011.

Message cité 1 fois
Message édité par Gigathlon le 22-03-2010 à 11:39:18
n°7381440
Zack38
Posté le 27-03-2010 à 09:09:17  profilanswer
 

Gigathlon a écrit :


C'est plutôt que malgré le côté aléatoire et difficile ils ont réussi à sortir des galettes sans le moindre défaut, c'est pas systématique :o
 
D'ailleurs ça doit être tellement courant que bien peu des dies de ces galettes parfaites doivent être utilisés, au point de les garder comme illustration de la qualité de leur travail [:gratgrat]  


 
En regardant uniquement le titre, on peut déjà établir que Globalfoundries affirme ne produit aucun wafer imparfait (ou défectueux, ce qui revient au même ?) . Je pense que cette annonce ne vaut que pour quelques puces, certainement les moins complexes ...

n°7391732
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 03-04-2010 à 13:04:34  profilanswer
 

Citation :

Globalfoundries Scraps 32nm Bulk Fabrication Process.
 
Globalfoundries Will Not Make Chips Using 32nm Bulk Tech
[04/01/2010 02:46 PM]
by Anton Shilov
 
Globalfoundries, a contract maker of semiconductors controlled by Advanced Micro Devices and Advanced Technology Investment Company, said on Thursday that it had cancelled its 32nm bulk fabrication process technology with high-k metal gate (HKMG). The company became the second foundry to scrap 32nm bulk process tech and proceed directly to 28nm HKMG manufacturing technology.
 
“All of our efforts around next-gen graphics and wireless are focused on 28nm with HKMG and we no longer have a 32nm bulk process. We removed this off our roadmap due to lack of customer demand as most are making the jump from 40/45nm right to 28nm,” said Jon Carvill, the head of public relations at Globalfoundries.
 
Earlier Taiwan Semiconductor Manufacturing Company, the world’s largest contract manufacturer of semiconductor, decided to scrap plans for its 32nm bulk process technology, which caused several companies to reconsider their own roadmaps. TSMC’s 32nm bulk fabrication process did not feature HKMG and was largely considered as shrink of the company’s 40nm fabrication process.
 
For the 28nm generation, which will be offered on bulk silicon substrates, the company will be accepting customer and third party IP designs in Q1 2010 on its shuttle service for low cost prototyping, with production planned in the second half of 2010. The 28nm technology offers the smallest SRAM cell size (0.120µm²) currently reported in the foundry industry, and an advantage in die size relative to 28nm “Gate Last” approaches. In addition, the Globalfoundries’ “Gate First” approach to HKMG simplifies 28nm design implementation and IP re-use for customers using conventional poly/SiON-based technology at the 45/40nm and 32nm nodes due to similar process flows and design rules.
 
Customers at the 28nm node will benefit from a high-volume ramp of leading-edge technology at the 32nm node. The 28nm node will be available in two variants:
 
    * The 28nm-HP (High Performance) variant will be optimized for leading-edge applications in such areas as graphics, game consoles, storage, networking and media encoding.
    * The 28nm-SLP (Super Low Power) variant is optimized for wireless mobile applications such as baseband, application processors, and other handheld functions that require long battery lifetime.

source ; http://www.xbitlabs.com/news/other [...] ocess.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7391786
letechnici​enfr
Préparons le futur !
Posté le 03-04-2010 à 13:38:03  profilanswer
 

drapal très intéressant tout ça merci pour le suivi des news


---------------
Quand les robots humanoïdes intègreront une majorité de foyers, quand ils seront capables d'accomplir l'intégralité des tâches de la vie courante, alors l'humanité entrera dans une nouvelle ère ; La recherche de la sagesse et la colonisation spatiale
n°7396333
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 07-04-2010 à 08:02:10  profilanswer
 

Citation :

GlobalFoundries to bring the "fastest" 28nm ARM Cortex-A9 design
 
When ARM announced a cooperation with GlobalFoundries, the question about the nature of this deal came into place. Thanks to Subramani Kengeri, VP of Design Solutions at GlobalFoundries - we managed to find out just that. According to Subramani, the very first thing GlobalFoundries did was license a Cortex-A9 MPCore in order to build a reference design for 28nm HKMG [High-K Metal Gate] / Gate First  design.
 
When GlobalFoundries was splitting from AMD, the company also acquired CPU Design Team that closely worked with IBM in East Fishkill Fab. The expertise of that team and the Design Team in Dresden expanded from standard SOI [Silicon-On-Insulator] CPUs to world's first SOI GPU [graphics subsystem inside Llano / AMD Fusion APU] and to bulk silicon. For bulk silicon, GlobalFoundries elected to use Cortex-A9 MPCore IP, as the company views that part as one of key ingredients for future smartphones, smart-books, netbooks, tablets and the like. You can expect the official announcement once that the company finishes the design, currently scheduled for June/July, with mass-production starting in the second half of 2010
 
http://www.brightsideofnews.com/Data/2010_4_6/GlobalFoundries-to-bring-fastest-28nm-ARM-A9-design/GlobalFoundries_ARM_675.jpg
 
In order to woo customers, their implementation of Cortex-A9 is supposed to be the most advanced one in the field - the design teams placed their extensive knowledge in transistor design to create a product which should offer double-digit efficiency improvement than anything competition might come with, and extend the modern x86 CPU manufacturing technologies into the world of bulk silicon. Besides power, the GlobalFoundries design also enabled much higher clock than what is coming from the competition. One of key enables was the transistor design evolution former AMD, now GlobalFoundries is so proud off. From the opening of 200mm Fab 30 [Now Fab 1 Module 2] back in June 2000, AMD transistor design team developed more than 15 generations of transistors.
 
According to Subramani, "one of largest issues customers faced in the past was the fact that most advanced manufacturing facilities weren't available. With GlobalFoundries and custom Cortex-A9 silicon, a client can come, take the 28nm design and just assemble an SOC around it, saving months in design time."
 
When asked why GlobalFoundries made such an investment in designing a part that will never come to market as a GlobalFoundries part, Subramani gave one simple answer: "Because we can." It isn't hard to imagine why - with the acquisition of Chartered, GlobalFoundries inherited over 150 customers such as Nintendo, Microsoft, Qualcomm, TI - to name the few. In theory, if a client such as Microsoft would desire to create a custom silicon for their Zune Phone like they did with Xbox, they would only need to purchase the Cortex-A9 MPCore design from GlobalFoundries, order Digital and Analog Logic parts from other players such as NXP, BroadCom, STMicro, Marvell etc - and order wafers from GlobalFoundries. Instead of designing a part for several years, they could deliver a complete SoC silicon within few quarters. This level of speed offered by GlobalFoundries didn't exist in the industry before and the company looks fairly certain that 2010 and 2011 are only the beginning of their battle for dominance.
 
The company already has clients for their 28nm version of Cortex-A9 MPCore, so it will be interesting to see who will switch from Samsung, TSMC and the like - to Fab 1 Module 2.

source ; http://www.brightsideofnews.com/ne [...] esign.aspx
 
*********************
 

Citation :

Des transistors en plastique
 
Des chercheurs de l’Université de Princeton ont réussi à produire des transistors en plastique grâce à une modification des procédés de fabrication traditionnels.
Travailler un polymère sans affecter sa conductivité
 
L’idée n’est pas nouvelle et les scientifiques travaillent depuis longtemps sur les polymères conducteurs. Le problème est que pour pouvoir les utiliser, il faut les rendre malléables, ce qui réduisait leur capacité à conduire l’électricité en raison d’une modification de la structure du plastique. Les chercheurs ont donc décidé de traiter le polymère avec un acide, après qu’il ait été façonner, afin qu'il retrouve sa structure initiale, tout en gardant la forme désirée.
Des panneaux solaires aux écrans
 
Le plastique est une alternative peu cher comparativement à l’oxyde d’indium-étain utilisé actuellement. Les chercheurs pensent d’abord d’utiliser de tels transistors dans les panneaux solaires, même si l’on peut aussi envisager la création d’écran flexible.

source ; http://www.presence-pc.com/actuali [...] tor-38788/


Message édité par super_newbie_pro le 07-04-2010 à 09:04:21

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7396577
gliterr
Posté le 07-04-2010 à 12:39:58  profilanswer
 

GlobalFoundries to bring the "fastest" 28nm ARM Cortex-A9 design  
 
Ca va commencer à faire un bail qu'on attends des ARM capable d'équiper des portables tournant sous Linux ...
Espéront que cette fois ci ca seras la bonne.

n°7398482
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 08-04-2010 à 20:21:31  profilanswer
 

Intel va envoyer des échantillons de son CPU doté de 48 coeurs

Citation :

Intel va bientôt envoyer des échantillons de son processeur doté de 48 cœurs et étudie la possibilité d'inclure 100 cœurs d'exécution dans un processeur.
 
Fin 2009, Intel dévoilait un prototype de processeur incluant pas moins de 48 coeurs. Il s'agit du « single-chip cloud computer » qui fait partie du projet de « tera-scale computing ». Il a été conçu en parallèle par de nombreuses équipes présentes dans les Intel Labs, notamment ceux présents en Inde, aux USA et en Allemagne, où la puce a été dévoilée.
 
On apprend aujourd'hui qu'Intel s'apprête à envoyer des échantillons de cette solution à diverses universités afin que les chercheurs puissent se familiariser avec un environnement massivement multi-cores. Les "engineering samples" devraient être livrés à la fin du trimestre en cours. On apprend également qu'Intel a l'intention de continuer dans cette voie en mettant au point des processeurs dotés de plus de 100 cœurs d'exécution.
 
Pour rappel, Le SCCC de 48 coeurs comporte 1,3 milliards de transistors sur une surface de 576mm², et est gravé en 45 nanomètres. Sa consommation ne dépassera pas les 125 watts, avec une valeur de 25 watts seulement en idle, lorsque les coeurs inactifs sont éteints. Ces coeurs sont assemblés en 24 unités de deux, chacune des unités disposant de son propre cache L2. Quatre contrôleurs mémoires DDR3 (32 Go maximum) sont présents dans le die ainsi qu'un réseau de communication à haut débit (256 gigaoctets par seconde).
 
Chaque coeur est proche de celui d'un Atom, la puce est donc compatible IA-32 / x86 et peut faire fonctionner Windows ou Linux, ce qui n'était pas le cas des prototypes tera-scale précédents.Les fréquences annoncées vont de 1.66 à 1.83 GHz. Reste à voir quand ces processeurs du futures débarqueront dans nos appareils de tous les jours comme les téléphones, les ordinateurs de bureau, les ordinateurs portables, etc.

source ; http://www.pcworld.fr/2010/04/08/m [...] rs/484021/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7400150
Zack38
Posté le 10-04-2010 à 10:02:53  profilanswer
 

Une nouvelle propriété des memristors
 
HP vient de découvrir que les Memristors sont capables d’accomplir des calculs booléens, leur donnant le potentiel d’intégrer les processeurs de demain.
De la théorie à la pratique
 
On se souvient que la firme avait prouvé l’existence des memristors en 2008. Jusqu’à présent, ils n’étaient que des composants électriques dont la résistance variait en fonction de la tension appliquée, ce qui leur permettait aussi de stocker une donnée pour en faire des mémoires, d’où le nom memristor (mémoire et resistor qui se traduit par « résistance » en français).
 
Memristors comme mémoire et processeur
 
Les récentes découvertes de HP montrent néanmoins que l’on peut utiliser les memristors pour autre chose que des modules de mémoires ... lire la suite


Message édité par Zack38 le 10-04-2010 à 12:37:55
n°7400285
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 10-04-2010 à 12:16:48  profilanswer
 

Intéressant. Pas limité en cycles comme la M/P-ram ? Si c'est le cas, faut voir quand ils seront capables de proposer une production de masse et à quel prix !


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7400573
Gigathlon
Quad-neurones natif
Posté le 10-04-2010 à 15:59:30  profilanswer
 

Il y a une simplification excessive dans cette niouze je pense...
 
Le principe se rapproche du varistor avec un effet mémoire assez typique de l'échelle nanoscopique, d'ailleurs les matériaux utilisés rappellent effectivement le varistor à oxyde métallique.
 
Bien belle trouvaille en 1971 en tout cas, mais l'utilisation en tant que semi-conducteur est tellement logique qu'il est étonnant que ça ait pris 2 ans après la première réalisation, sachant que l'article de PPC de l'époque mentionnait déjà cette caractéristique. Peut-être un manque de maîtrise du processus de fabrication vu les dimensions imposées, rendant difficile l'assemblage de plusieurs de ces bestioles...
 
Edit: en fait, la "découverte" de ce composant date visiblement de 1967 et non 1971 et l'hypothèse sur le fonctionnement à l'époque non vérifiable semble la bonne.


Message édité par Gigathlon le 10-04-2010 à 16:16:03
n°7403040
Zack38
Posté le 12-04-2010 à 17:10:19  profilanswer
 

Le graphène pour refroidir les CPU
 
Se passe-t-il un mois sans qu'un chercheur trouve une nouvelle application au graphène ? Ce matériau, isolé seulement en 2004, est pressenti par une équipe de l'Université de Rice comme une solution à la dissipation de la chaleur produite par nos chères puces.  
 
Le graphène possède en effet une excellente conductivité thermique : 5000 Watt par mètre par Kelvin. Par comparaison, le diamant, qui détenait le record précédemment n'atteint que 2600 W.m-1.K-1 ... lire la suite

n°7406150
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-04-2010 à 20:46:04  profilanswer
 

TSMC sauterait le 22nm au profit du 20nm.
 

Citation :

TSMC to Start 20nm Risk Production in 2012
[04/13/2010 12:59 PM]
by Anton Shilov
 
In a bid to offer the most advanced fabrication process technology among contract semiconductor manufacturers, Taiwan Semiconductor Manufacturing Company has decided to skip development of 22nm manufacturing process and move straight to 20nm process technology already in the second half of 2012 with risk production, which results into volume manufacturing in 2013.
 
The technology will be based on a planar process with enhanced high-K metal gate (HKMG), novel strained silicon, and low-resistance copper ultra-low-K interconnects. The technical rationale behind the move is based on the capability of innovative patterning technology and layout design methodologies required at these advanced technology nodes.  
 
During his address to nearly 1.5 thousand TSMC customers and third party alliances, Dr. Shang-yi Chiang, TSMC senior vice president of research and development, said that the move to 20nm creates a superior gate density and chip performance to cost ratio than a 22nm process technology and makes it a more viable platform for advanced technology designers. He also announced that TSMC is expected to enter 20nm risk production in the second half of 2012. Dr. Chiang also indicated that the company has demonstrated record-setting feasibility of other transistor structures such as FinFET and high-mobility devices.
 
"We have reached a point in advanced technology development where we need to be actively concerned about the ROI of advanced technology.  We also need to broaden our thinking beyond the process technology barriers that are inherent in every new node. Collaborative and co-optimized innovation is required to overcome the technological and economic challenges,” said Dr. Chiang.
 
TSMC recently decided to cancel development of 32nm manufacturing process and develop 28nm HKMG fabrication technology instead. Even though the move is projected to improve the company’s competitive position in 2011, the decision comes after the company failed to deliver sufficient production yields with 40nm process technology, which was designed after TSMC decided to skip 45nm production tech.

source ; http://www.xbitlabs.com/news/other [...] stead.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR