Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1587 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Page Suivante
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°9243746
Xixou2
Posté le 19-08-2014 à 21:30:10  profilanswer
 

Reprise du message précédent :

Invite_Surprise a écrit :

Samsung Now Mass Producing Industry’s Most Advanced DDR4, Using 20 Nanometer-class Process Technology
 
http://tof.canardpc.com/preview2/1 [...] 4abe5f.jpg
 

Citation :

With the introduction of these high-performance, high-density DDR4 modules, Samsung can better support the need for advanced DDR4 in rapidly expanding, large-scale data centers and other enterprise server applications.
 
Early market availability of the 4-gigabit (Gb) DDR4 devices, which use 20 nanometer (nm)-class* process technology, will facilitate demand for 16-gigabyte (GB) and 32GB memory modules. This compares to conventional DRAM of which 8GB modules using a 30nm-class* process technology are still commonplace.


 

Citation :

Editors’ Note: 20 nm-class means a process technology node somewhere between 20 and 30 nanometers, 30 nm-class means a process technology node somewhere between 30 and 40 nanometers, and 50 nm-class means a process technology node somewhere between 50 and 60 nanometers.



 
Commique, ça sera en vente le même jour, de cette année ^^

mood
Publicité
Posté le 19-08-2014 à 21:30:10  profilanswer
 

n°9278779
Invite_Sur​prise
Racaille de Shanghaï
Posté le 23-09-2014 à 16:56:16  profilanswer
 

Intel Opens Door on 7nm, Foundry - EETimes
 

Citation :

“My day job is working on [research for a process to make] 7 nm [chips and] I believe there is a way without EUV,” said Intel fellow Mark Bohr, responding to a question after a talk on Intel’s new 14 nm process.


 

Citation :

“I am very interested in EUV [because it] could really help scaling and perhaps process simplification, reducing three or four masks to one in some cases,” Bohr said. “Unfortunately, it’s not ready yet -- the throughput and reliability are not there.”


 

Citation :

Intel already announced it has started making in volume chips using a 14 nm process at a lower cost per transistor than its prior 22 nm generation. It also said it is in development of a 10 nm process that it believes will deliver lower cost per transistor.


 

Citation :

In his most detailed talk to date, Sunit Rikhi, general manager of Intel’s custom foundry business, described the details of an end-to-end service that’s now more open than ever but still evolving.
Customers are currently using their own designs, supplemented with some IP blocks from Synopsys and Cadence. In the future, Intel will offer some IP, starting with an Atom core still in development.


 
http://tof.canardpc.com/view/c86f1d18-c399-4c0b-bfc6-8f651a5b116f.jpg http://tof.canardpc.com/view/acce0948-cf8b-40a4-85f1-2bcdfece3702.jpg http://tof.canardpc.com/view/b26eb982-4141-4e6e-833d-9847eeb18dec.jpg
 

Citation :

Most significantly, Intel is far along in testing a lower-cost alternative to 2.5D chip stacks it calls the Embedded Multi-Die Interconnect Bridge.
 
Unlike the 2.5D process, EMIB does not lay dies side-by-side on large silicon interposers, connecting them with through silicon vias (TSVs). Instead it uses a more conventional kind of flip chip process with metal bump interconnects inside a package.


 

n°9280834
Invite_Sur​prise
Racaille de Shanghaï
Posté le 25-09-2014 à 13:48:02  profilanswer
 

TSMC Delivers First Fully Functional 16FinFET Networking Processor - TPU
 

Citation :

TSMC today announced that its collaboration with HiSilicon Technologies Co, Ltd. has successfully produced the foundry segment's first fully functional ARM-based networking processor withFinFET technology. This milestone is a strong testimonial to deep collaboration between the two companies and TSMC's commitment to providing industry-leading technology to meet the increasing customer demand for the next generation of high-performance, energy-efficient devices.
 
TSMC's 16FinFET process promises impressive speed and power improvements as well as leakage reduction. All of these advantages overcome challenges that have become critical barriers to further scaling of advanced SoC technology. It has twice the gate density of TSMC's 28HPM process, and operates more than 40% faster at the same total power, or reduces total power over 60% at the same speed.


 

Citation :

TSMC's 16FinFET has entered risk production with excellent yields after completing all reliability qualifications in November 2013. This paves the way for TSMC and customers to engage in more future product tape-outs, pilot activities and early sampling.
 
"We are delighted to see TSMC's FinFET technology and CoWoSsolution successfully bringing our innovative designs to working silicon," said HiSilicon President Teresa He."This industry's first 32-core ARM Cortex-A57 processor we developed for next-generation wireless communications and routers is based on the ARMv8 architecture with processing speeds of up to 2.6GHz.

n°9411595
Invite_Sur​prise
Racaille de Shanghaï
Posté le 05-02-2015 à 13:22:47  profilanswer
 

Nvidia Newest Samsung Foundry Customer For 14nm Process, After Apple And Qualcomm - Tom's Hardware
 

Citation :

Park Yu-ak, an analyst at Meritz Securities, said, "Global AP makers are likely to focus on lowering the cost of production this year in order to offset a decline in AP prices." He added, "Samsung's system semiconductor business is going to mass produce and supply chips to Apple, Qualcomm, and Nvidia starting in the second quarter of this year. As a result, the Korean tech giant is projected to exhibit stellar performance."


 
Source
 
Cela concernerait à priori seulement Tegra mais c'est un changement vraiment important pour nVidia.
Si Samsung peut rivaliser avec TSMC, cela promet une bonne concurrence entre les 2 fondeurs et c'est une excellente nouvelle.

n°9459560
Invite_Sur​prise
Racaille de Shanghaï
Posté le 08-04-2015 à 20:55:42  profilanswer
 

TSMC Processes Galore - SemiWiki
 

Citation :

Today was TSMC's 2015 North American Technology Symposium. They talked about a lot of things but perhaps the most important was that they gave a lot of details of new processes, new fabs, and volume ramps.


 

Citation :

16FF+
This is the second generation of TSMC's 16FF process. Volume production will be mid-2015, which is just one-year after 20nm volume production started. TSMC already has over a dozen tape-outs and expects to have over 50 by the end of 2015. The process has 10% better performance than competitors and 50% less power than 20nm.


 

Citation :

10FF
TSMC said this is ahead of schedule. They have already built and yielded a 256Mb SRAM. The density of 10nm will be 2.1x that of 16nm node partially due to a new local interconnect layer and partially because they are using self-aligned spacer (aka SADP or SIT) on the metal. SRAM cell shrinks by 0.46 to 0.49. Risk production will be 4Q2015. Test chip based on ARM Cortex A-57 taped out 2 weeks ago. There is a 19% speed gain or 38% power reduction based on this test chip.


 

Citation :

16FFC
This is, I believe, a process newly announced today. It is a more compact version of 16FF+ aimed at the consumer marketplace. Same design rules. Simpler process, tighter process corners. Power is reduced by over 50% and the pricing is cost-competitive for mainstream markets (which I take as meaning it is cheaper than 28nm for the same design). Voltage is 0.55V. Version 1.0 collateral will be available 1Q2016 with customer tape-outs in 2H2016.

n°9459712
Gigathlon
Quad-neurones natif
Posté le 09-04-2015 à 00:04:33  profilanswer
 

Pas moyen de retrouver la densité de défauts de GloFo, mais il me semble bien qu'ils avaient annoncé moins que les "0.05 et même parfois 0.03/cm²" cités... pour l'introduction.


Message édité par Gigathlon le 09-04-2015 à 00:05:22
n°9615165
Invite_Sur​prise
Racaille de Shanghaï
Posté le 22-09-2015 à 21:25:40  profilanswer
 

Dans une interview à EETimes, le CEO de GloFo lève le voile sur la stratégie à venir du fondeur :
 

Citation :

EE Times: When will the first chip (based on Globalfoundries’ 22nm FD-SOI) become commercially available?
 
Jha: We are expecting the production tape-out to be in the second half of 2016.


 

Citation :

EE Times: For 14nm node, Globalfoundries depended on the technology licensed from Samsung. What’s your plan for 10nm and 7nm? Will you develop your own technologies?
 
Jha: We’re developing our own technologies for the next nodes. The whole point of the IBM Microelectronics business acquisition is to leverage IBM’s technologists and technology to accelerate our own development of leading-edge process technologies.


 

Citation :

EE Times: FinFET promoters already have a roadmap for 10nm and 7nm. What’s the FD-SOI roadmap beyond 22nm?
 
Jha: We have an FD-SOI roadmap that also scales. We’re currently exploring with our partners if we should use a different tool set for the next node. If it turns out to be different, we’ll do the next-node FD-SOI production in Malta, NY, while Dresden remains focused on 22nm FDX.


 
http://www.eetimes.com/document.as [...] e_number=2

n°9615185
Invite_Sur​prise
Racaille de Shanghaï
Posté le 22-09-2015 à 21:45:52  profilanswer
 

Un autre article intéressant aussi chez EETimes mais traitant de TSMC :
 

Citation :

The road map suggests TSMC could leapfrog Intel to producing 10nm chips, although naming conventions for nodes these days hide the underlying details of the processes. What's more clear is TSMC has gotten off to a slow start with its 16nm FinFET process with close partners such as Xilinx saying they have taped out but not yet shipped their first chip in the process. Xilinx also plans to skip TSMC’s 10mn process in favor of its 7nm node, a significant choice given Xilinx typically acts as a logic driver for new TSMC nodes.


 

Citation :

TSMC has made a working SRAM at 7nm, Sun reported. The node should deliver 40-45% less area and either 10-15% higher speeds or 25-30% lower power than the 10nm node, he said.
 
The foundry expects to start “risk” production for 7nm in the first quarter of 2017. It is developing the process for existing immersion steppers, Sun told EE Times. Nevertheless, Sun reported progress with EUV systems now running at 90W and expected to have throughput as high as 125 wafers/hour later this year.


 
http://www.eetimes.com/document.as [...] e_number=1

n°9929193
Invite_Sur​prise
Racaille de Shanghaï
Posté le 29-08-2016 à 18:30:12  profilanswer
 

Intel to start manufacturing Apple SoCs in 2018? - Asia Nikkei/DVHardware

Citation :


"TSMC could face tough competition as soon as 2018 or 2019 as Intel is likely to gain orders from Apple by then," Samuel Wang, a veteran semiconductor analyst at research company Gartner, told the Nikkei Asian Review. "Intel has begun to engage with Apple and it aims to grab one or two top-tier customers from TSMC."


 

Citation :

A senior Taiwanese chip industry executive shared Wang's views. "Intel is definitely the most formidable challenger for TSMC," the executive told the NAR.
 
"There is no rivalry between Apple and Intel so it's really likely that Apple could shift some orders there. The move is also in line with Washington's policy to encourage U.S. companies to make more products at home," the person said.


 
Via DVHardware
 
 

n°9929195
nicolas_ya​d
Spoons made me fat
Posté le 29-08-2016 à 18:31:01  profilanswer
 

[:drapal]

mood
Publicité
Posté le 29-08-2016 à 18:31:01  profilanswer
 

n°9937196
Invite_Sur​prise
Racaille de Shanghaï
Posté le 06-09-2016 à 15:27:14  profilanswer
 
n°9938984
Klivan
Ach... Encore raté...
Posté le 08-09-2016 à 15:35:34  profilanswer
 

:hello:  
 
Peut-être un peu à côté de la plaque, mais ce topic me paraissait le plus adapté: est-ce que quelqu'un saurait où je pourrais trouver les capacités de production par node? Et encore mieux, leur évolution dans le temps?
 
Many thanks  :jap:


---------------
We are the knights who say : Ni! / 'Bye Julfisher, so long Shepard :(
n°9939093
Xixou2
Posté le 08-09-2016 à 17:37:13  profilanswer
 

Klivan a écrit :

:hello:

 

Peut-être un peu à côté de la plaque, mais ce topic me paraissait le plus adapté: est-ce que quelqu'un saurait où je pourrais trouver les capacités de production par node? Et encore mieux, leur évolution dans le temps?

 

Many thanks :jap:


capacite de production par rapport a quoi ?

n°9939884
Klivan
Ach... Encore raté...
Posté le 09-09-2016 à 15:26:45  profilanswer
 

En wafers par mois, en gros j'aimerais pouvoir comparer les cycles de vie des différents process à travers le temps (adoption, croissance, décroissance).
 
J'ai essayé de regarder dans les rapports financiers de TSMC, mais ils ne divulguaient que les revenus issus de ces procédés, et pas les capacités de production. Et si les process de pointe sont logiquement développés par un nombre limité d'acteurs, ce qui rend la collecte des données moins ardue, il me semble que le 28nm par exemple est toujours en croissance... mais je suis loin de connaître tous les acteurs qui l'utilisent  [:transparency]


---------------
We are the knights who say : Ni! / 'Bye Julfisher, so long Shepard :(
n°9940614
Xixou2
Posté le 10-09-2016 à 15:24:27  profilanswer
 

je sais que pour le 10 nm ca doit se faire en quatre passes et que ca coute cher et apporte des risques de decallages a la gravure. la lumiere UV sera bientot bien geree et la on pourra graver petit avec rapidite et sans soucis.

n°10155745
Invite_Sur​prise
Racaille de Shanghaï
Posté le 26-05-2017 à 19:56:03  profilanswer
 

Samsung Targets 4nm in 2020 - EETimes
 

Citation :

Samsung has demonstrated the EUV power source production target of 250W in process development. According to Low, the “magic number” for productivity with EUV is 1,500 wafers per day. Samsung has already exceeded 1,000 wafers per day and has a high degree of confidence that 1,500 wafers per day is achievable, Low said.


 

Citation :

“We are confident that we are ready to bring [EUV] into production in 2018,” Low said. “This is no longer a concept roadmap item.”
 
Low said that Samsung, unlike some competitors, sees the 10nm node as a “long-lead node” that will provide customers with the performance and desired power consumption on leading edge designs for a considerable period of time.


 

Citation :

  • 8LPP (8nm Low Power Plus): 8LPP provides the most competitive scaling benefit before transitioning to EUV (Extreme Ultra Violet) lithography. Combining key process innovations from Samsung’s 10nm technology, 8LPP offers additional benefits in the areas of performance and gate density as compared to 10LPP.

 

  • 7LPP (7nm Low Power Plus): 7LPP will be the first semiconductor process technology to use an EUV lithography solution. 250W of maximum EUV source power, which is the most important milestone for EUV insertion into high volume production, was developed by the collaborative efforts of Samsung and ASML. EUV lithography deployment will break the barriers of Moore’s law scaling, paving the way for single nanometer semiconductor technology generations.

 

  • 6LPP (6nm Low Power Plus): 6LPP will adopt Samsung’s unique Smart Scaling solutions, which will be incorporated on top of the EUV-based 7LPP technology, allowing for greater area scaling and ultra-low power benefits.

 

  • 5LPP (5nm Low Power Plus): 5LPP extends the physical scaling limit of FinFET structure by implementing technology innovations from the next process generation, 4LPP, for better scaling and power reduction.

 

  • 4LPP (4nm Low Power Plus): 4LPP will be the first implementation of next generation device architecture – MBCFETTM structure (Multi Bridge Channel FET). MBCFETTM is Samsung’s unique GAAFET (Gate All Around FET) technology that uses a Nanosheet device to overcome the physical scaling and performance limitations of the FinFET architecture.

 

  • FD-SOI (Fully Depleted – Silicon on Insulator): Well suited for IoT applications, Samsung will gradually expand its 28FDS technology into a broader platform offering by incorporating RF (Radio Frequency) and eMRAM(embedded Magnetic Random Access Memory) options. 18FDS is the next generation node on Samsung’s FD-SOI roadmap with enhanced PPA (Power/Performance/Area).


http://news.samsung.com/global/sam [...] own-to-4nm

n°10163780
Invite_Sur​prise
Racaille de Shanghaï
Posté le 09-06-2017 à 14:39:30  profilanswer
 
n°10164070
Xixou2
Posté le 09-06-2017 à 22:28:29  profilanswer
 
n°10164134
Profil sup​primé
Posté le 10-06-2017 à 02:27:05  answer
 

Pourquoi faire si le 5 est mieux
Moi, je partirai direct sur le 10
Je serai tranquille longtemps :o
C'est pas comme si à cause de ça, les gens devront changer dans +/-~1&1/2 an leur matériel qu'il vont acheter bientôt (tant chez AMD qu'Intel) [:jocefuck]


Message édité par Profil supprimé le 10-06-2017 à 02:28:54
n°10233703
Invite_Sur​prise
Racaille de Shanghaï
Posté le 15-09-2017 à 16:35:07  profilanswer
 

TSMC Updates its Silicon Menu - EETimes
 

Citation :

TSMC reported progress in 7 nm and extreme ultraviolet (EUV) lithography and bolstered a planar process that competes with fully depleted silicon-on-insulator at an annual event here. It also gave updates on its work in packaging and platforms for key market segments.


 

Citation :

The process can deliver 20% greater density, 8–10% higher speeds, or 15–20% less power than its current N7 node. Compared to its 16FFC process, N7+ can enable 30% higher speed or 50% less power on an ARM A72 core, said Cliff Hou, vice president of R&D for design technology at TSMC.


Citation :

Overall, the work of moving from N7 to N7+ should represent about a third of the effort of migrating to a new node, he added.


Citation :


In packaging, TSMC said that it is working on a new variant of InFO, its wafer-level fab-out technique famously used in Apple’s latest A Series processors. InFO-MS will integrate logic and memory and is first being targeted for use with the latest high bandwidth memory (HBM2) in efforts among TSMC, Samsung, and SK Hynix.
 
Separately, Open-Silicon announced Tuesday that it has validated for use in system-in-package designs its HBM2 IP subsystem made using TSMC’s 16-nm process and its CoWoS 2.5-D chip stacking technology. It supports data rates up to 2 Gbits/second per pin. The company expects that a 7-nm version will hit 2.4 Gbits/s.


 

n°10241846
Invite_Sur​prise
Racaille de Shanghaï
Posté le 29-09-2017 à 13:27:30  profilanswer
 

TSMC to build 3nm production plant in Taiwan - TSMC
 

Citation :

TSMC today announced that, following careful evaluation, the Company’s planned advanced 3nm fab will be located in the Tainan Science Park to fully leverage the company’s existing cluster advantage and the benefit of a comprehensive supply chain. TSMC recognizes and is grateful for the government’s clear commitments to resolve any issues, including land, water, electricity and environmental protection.

n°10290439
Invite_Sur​prise
Racaille de Shanghaï
Posté le 11-12-2017 à 10:54:13  profilanswer
 

Few Surprises as Intel, GF Detail Process Technologies - EETimes
 

Citation :

Intel will use cobalt in on the bottom two layers of its 10-nm interconnect to get a five- to ten-fold improvement in electromigration and a two-fold reduction in via resistance. It represents the first time that a chip maker has detailed plans to introduce cobalt — a brittle metal long considered a promising dielectric candidate — in a process, according to G. Dan Hutcheson, chairman and CEO of VLSI Research.


 

Citation :

Both Intel and Globalfoundries had previously announced their newest process technologies. Intel's 10-nm node, first unveiled in March, features FinFETs with a 7-nm fin width at a 34-nm pitch and a 46-nm fin height made using self-aligned quadruple patterning (SAQP). Globalfoundries 7-nm node, first announced in September, uses SAQP to make fins and double patterning for metallization and boasts a 2.8-fold improvement in routed logic density and by up to 40% more performance or 55% lower power compared to its 14-nm process licensed from Samsung. Both processes support multiple voltage thresholds.

n°10313864
Invite_Sur​prise
Racaille de Shanghaï
Posté le 19-01-2018 à 08:39:45  profilanswer
 

EUV, 7-nm Roadmaps Detailed - EETimes
 

Citation :

Extreme ultraviolet lithography (EUV) is set to enable 10-nm and 7-nm process nodes over the next few years, but significant work is still needed on photoresists to enable 5-nm chips, according to an analysis released at the Industry Strategy Symposium here


 

Citation :

At the same time, EUV maker ASML announced that it shipped 10 EUV systems last year and will ship 20 to 22 more this year. The systems will have, or at least support, a 250-W laser light source needed to produce 125 wafers/hour.


 

Citation :

Jones expects that ASML will ship another 70 systems in 2019–2020. That’s enough to support production nodes that he detailed in the works at Globalfoundries, Intel, Samsung, and TSMC.


 

Citation :

ASML aims to boost the 145 wafers/hour throughput that it can get with its 250-W light source to 155 w/h in 2020. It has demonstrated a 375-W light source working in the lab, said Peter Jenkins, ASML’s vice president for corporate strategy and marketing, in a talk here.
The company’s pellicle passes through 83% of light today and withstands a 245-W light source over 7,000 wafer exposures. However, the most aggressive 7-nm nodes need a 90% transmission used with a 250-W or greater light source.


 
http://tof.cx/images/2018/01/19/9e925bfca7776f66b23e0e2eff436071.png

n°10335268
Invite_Sur​prise
Racaille de Shanghaï
Posté le 28-02-2018 à 19:30:34  profilanswer
 

EUV Defects Cited in 5-nm Node - EETimes
 

Citation :

Researchers reported random defects appearing in extreme ultraviolet (EUV) lithography at 5-nm nodes. They are applying an array of techniques to eliminate them but, so far, see no clear solution.


 

Citation :

A retired Intel lithographer predicted that engineers will be able to create 5-nm and even 3-nm devices by using two and three passes with an EUV stepper. But a rising tide of chip defects ultimately will drive engineers to new, fault-tolerant processor architectures such as neural networks, said Yan Borovodsky in a keynote at the event.


 

Citation :

The random defects take many forms. Some are imperfectly made holes; others are tears in lines or shorts where two lines or two holes meet. Given their tiny dimensions, researchers sometimes spend days just to find them.


 

Citation :

Another issue is that it’s unclear exactly what happens to resist materials when hit with EUV light. “It’s still unknown how many electrons are generated and what kinds of chemistries are created … we’re a little ways from a full understanding of the physics, so we’re doing more experiments,” said McIntyre, noting that researchers have tested as many as 350 combinations of resists and process steps.


 

Citation :

In an interview, Borodovsky said that another factor that may be contributing to the 5-nm defects is a lack of homogeneity in the current EUV resist materials. Separately, he said that he supports work on direct e-beam writers because the complex phase-shift masks that EUV uses ultimately will balloon to eight times the price of today’s immersion masks.


 
http://tof.cx/images/2018/02/28/bc0a8e8fd166c68473804acae972be85.jpg
 
ASML Updates EUV Roadmap - EETimes
 

Citation :

Over the weekend, the NXE 3400B system delivered 140 wafers/hour with a 245-W light source integrated in a system at the company’s headquarters in the Netherlands. ASML aims to tune the light source to 250 W for throughput of 150 wafers/hour and ship it to customers before June for use on 7-nm process nodes.


 

Citation :

ASML is considering a model 3400C that it could deliver in 2020 with additional improvements, boosting throughput to 155 WPH. Details of the system are still under discussion with the small handful of big chip vendors who would be its users.


 
http://tof.cx/images/2018/02/28/8501fbbf57906d97836f8488d3711ab5.jpg
 
 

n°10335440
ptibeur
Today you, tomorrow me
Posté le 01-03-2018 à 10:06:06  profilanswer
 

Challenge intéressant de passer la barrière des 5nm [:huit]


---------------
It ain't what you got, it's what you do with what you have... do you understand? And, it ain't what you do, it's how you do it.
n°10370196
Invite_Sur​prise
Racaille de Shanghaï
Posté le 15-05-2018 à 16:48:59  profilanswer
 

GF Seeks Fab, ASIC Partners - EETimes
 

Citation :

The U.S. Department of Defense could be attracted by the promise of access to 3-nm chips as an expansion of the trusted foundry arrangement that GF acquired with IBM’s fabs in 2015. “This is important for national security and creating jobs … access to a secure domestic supply — we’ll work that angle,” said Caulfield.


 

Citation :

I don’t know if 5 nm is enough to make a fabless company invest … they need something as defined as 3 nm to get full performance, but we’re still looking at what is the right investment for the next node.


 

Citation :

“We don’t have the capacity … [and] we will be behind the leading 7-nm [foundry], but it costs more to be a leader than a fast follower,” in leading-edge nodes, he said.


 

Citation :

GF also won’t try to follow rival TSMC in offering a variety of packages such as its 2.5D CoWoS and its InFO wafer-level fan-out for mobile processors.


 

Citation :

Owner Mubadala invested in technology for the long term, but it wants to see a path to gains, he said.


 

Citation :

The changes could address criticisms that GF has been slow to deliver on promises. For example, it was early to talk about fully depleted silicon-on-insulator as a low-cost alternative to FinFETs. But Samsung won the initial FD-SOI business, and now the two companies are competing to ramp customers.


 

Citation :

It was four companies in a way with an AMD spinout in Dresden, the acquisitions of Chartered in Singapore and IBM in the U.S. … we started Malta before there was a company culture, so Malta was raised by wolves


Message édité par Invite_Surprise le 15-05-2018 à 20:16:51
n°10373453
Invite_Sur​prise
Racaille de Shanghaï
Posté le 23-05-2018 à 14:25:50  profilanswer
 

Samsung Plans 3nm Gate-All-Around FETs in 2021 - EETimes
 

Citation :

Samsung Electronics laid out plans to bring to mass production in 2021 the architectural successor to FinFETS, gate-all-around (GAA) transistors, at the 3nm node. The South Korean giant also reaffirmed plans to begin 7nm production using extreme ultraviolet (EUV) lithography in the second half of this year at its annual foundry technology forum here Tuesday (May 22).


 

Citation :

Samsung's proprietary GAA technology, known as multi-bridge-channel FET (MBCFET), has been in development since 2002, according to Ryan Sanghyun Lee, vice president of market for Samsung Foundry. MCBFET uses a nano-sheet device to enhance gate control, significantly improving the performance of the transistor, according to the company


 

Citation :

"The Samsung roadmap was aggressive," said Kevin Krewell, I already knew they were moving fast on EUV, but this also sets a high bar."
 
But, Krewell added, "It's still a ways out and schedules can slip."


 

Citation :

Samsung reiterated plans to begin using EUV lithography in mass production in the second half of this year with its 7nm Low Power Plus process. Samsung is expected to be the first chipmaker to put EUV — which the industry has been developing for many years — into commercial production. TSMC and Globalfoundries have announced plans to use EUV in commercial production starting in 2019.


 

Citation :

Samsung's process technology roadmap also includes 5nm FinFET production in 2019 and 4nm FinFET production in 2020.

n°10389539
Invite_Sur​prise
Racaille de Shanghaï
Posté le 02-07-2018 à 11:07:15  profilanswer
 

UMC Acquires Fujitsu’s Stake in Semiconductor Joint Venture - AnandTech
 

Citation :

Fujitsu Semiconductor and UMC on Friday said that the latter will acquire 100% ownership of Mie Fujitsu Semiconductor (MIFS), a joint venture between two companies. The move essentially ends history of Fujitsu’s in-house manufacturing of microelectronics that began in 1956. Meanwhile, UMC will gain additional manufacturing resources in Japan, which somewhat boosts its competitive positions against key rivals.


 

Citation :

Various sources report that the two MIFS fabs can produce from 28,000 to 40,000 300-mm wafers per month, which will be a nice addition to UMC’s 140,000 300-mm wafers per month. Meanwhile it is necessary to note that since the fabs have never been seriously upgraded, they cannot produce chips using 28 nm technology let alone FinFET fabrication processes. MIFS implied several years ago that 40 nm would be used for the next 20 to 30 years, though Fujitsu Semiconductor still decided to sell its aging asset to UMC.


 

Citation :

UMC itself has been having troubles developing FinFET-based process technologies and barely processed several thousands of wafers using its 14 nm node in 2017. Therefore, expanding production capacities with mature product lines seems to be a bid to increase sales.
 
UMC’s acquisition of MIFS will enable the company to better compete against GlobalFoundries and TSMC for customers that require cheap and mature process technologies as well as for clients in Japan.

n°10389547
Invite_Sur​prise
Racaille de Shanghaï
Posté le 02-07-2018 à 11:40:55  profilanswer
 

Global silicon wafer sales to increase over 20% in 2018 - Digitimes
 

Citation :

Sales in the global semiconductor-grade silicon wafer market are forecast to rise over 20% in 2018, after surging 20.8% in 2017, thanks to a continued rally in silicon wafer prices, according to Digitimes Research.
 
The average selling price (ASP) per square inch of silicon wafers came to US$0.86 in the first quarter of 2018 reaching the highest since 2013, said Digitimes Research. The ASP is expected to reach US$1 at the end of 2019, approaching the 2009-2011 level.


 

Citation :

The supply of silicon wafers particularly that of 8- and 12-inch ones will remain tight for at least one to two years, according to Digitimes Research. Whether the tight supply will persist after 2020 will very much depend on the demand side. Industrial, IoT and automotive applications will play major drivers of demand for 8-inch wafers, while demand for 12-inch wafers will come from mainly the cloud storage and smartphone segments.

n°10395961
Invite_Sur​prise
Racaille de Shanghaï
Posté le 19-07-2018 à 19:56:52  profilanswer
 

ASML to Ship 20 EUV Systems in 2018 - EETimes
 

Citation :

Dutch semiconductor equipment vendor  ASML said Wednesday it is on track to ship 20 extreme ultraviolet (EUV) systems in 2018 and expects to ship at least 30 more in 2019.


 

Citation :

ASML shipped four EUV systems in the second quarter, one more than forecast, as logic customers prepare to ramp next-generation devices starting later this year, Wennink said.


 

Citation :

Leading-edge semiconductor manufacturers include Samsung, Intel and TSMC are planning to use EUV in volume production beginning in the next year, though concerns remain about the availability of the EUV power source and other items in the EUV supply chain, including pellicles.
ASML says it has now demonstrated four-week availability of well above 85% on a number of its new NXE:3400B EUV systems and is executing several programs to improve consistent availability to over 90% in 2019.

n°10396157
Invite_Sur​prise
Racaille de Shanghaï
Posté le 20-07-2018 à 14:33:17  profilanswer
 

TSMC revises revenue, capex outlook for 2018 - Digitimes
 

Citation :

In addition, TSMC has entered volume production of 7nm chips. Sales generated from 7nm process technology will account for about 10% of the foundry's total revenues in the third quarter, and the proportion will climb further to 20% in the fourth quarter, according to Wei.
 
TSMC has started taping out chips built using an enhanced 7nm node with EUV in July, which is ahead of schedule, Wei noted. The company is scheduled to move the node to volume production in the second quarter of 2019, Wei said.

n°10417584
Invite_Sur​prise
Racaille de Shanghaï
Posté le 28-08-2018 à 19:54:42  profilanswer
 

GloFo arrête son développement sur le 7nm et concentre ses efforts sur le 14/12nm :
 

Citation :

To support this transition, GF is putting its 7nm FinFET program on hold indefinitely and restructuring its research and development teams to support its enhanced portfolio initiatives. This will require a workforce reduction, however a significant number of top technologists will be redeployed on 14/12nm FinFET derivatives and other differentiated offerings.


 
http://www.globalfoundries.com/new [...] -offerings
 
edit : Un bon article sur le sujet chez AnandTech :
 
http://www.anandtech.com/show/1327 [...] evelopment


Message édité par Invite_Surprise le 28-08-2018 à 20:40:06
n°10422243
Invite_Sur​prise
Racaille de Shanghaï
Posté le 04-09-2018 à 19:25:48  profilanswer
 

Chipmakers slowing down transition to sub-10nm technology - Digitimes
 

Citation :

With sub-10nm node manufacturing requiring huge capex, a number of foundries have slowed down their investment pace while fabless chipmakers stick with 14/12nm products for cost reasons. Such scenario will likely turn a new leaf in the chip industry evolution, according to industry sources.


 

Citation :

Fabless chip developers are aware that they have to spend big on sub-10nm chip development, and are concerned about whether such huge investment will pay off, the sources indicated.


 

Citation :

Instead of developing the industry's first 7nm SoC chip, Qualcomm and MediaTek have both moved to enhance their upper mid-range offerings by rolling out respective new 14/12nm solutions, the sources noted. Questions have been raised about whether advancing to 7nm manufacturing node is necessary under the current circumstances, the sources said.

n°10448565
Invite_Sur​prise
Racaille de Shanghaï
Posté le 17-10-2018 à 19:23:41  profilanswer
 

ASML Press Release Q3'18
 

Citation :

We shipped and recognized revenue for five EUV systems in the third quarter. In addition, we received five EUV orders. We expect to ship a total of 18 EUV systems this year. Our 2019 shipment plan is 30 systems as the first customers are about to start high volume production using EUV. We have made significant progress in the execution of our roadmap, accelerating the introduction of the higher-productivity system NXE:3400C, offering more than 155 wafers per hour. Shipment of this system is expected to start in the second half of 2019.

n°10491240
Invite_Sur​prise
Racaille de Shanghaï
Posté le 20-12-2018 à 10:57:07  profilanswer
 

Engineers produce smallest 3-D transistor yet - MIT News
 
http://tof.cx/images/2018/12/20/a9d3920ad4ba88c40cca661d2777fbfb.jpg
 

Citation :

As described in a paper presented at this week’s IEEE International Electron Devices Meeting, the researchers modified a recently invented chemical-etching technique, called thermal atomic level etching (thermal ALE), to enable precision modification of semiconductor materials at the atomic level. Using that technique, the researchers fabricated 3-D transistors that are as narrow as 2.5 nanometers and more efficient than their commercial counterparts.


 

Citation :

Microfabrication involves deposition (growing film on a substrate) and etching (engraving patterns on the surface). To form transistors, the substrate surface gets exposed to light through photomasks with the shape and structure of the transistor. All material exposed to light can be etched away with chemicals, while material hidden behind the photomask remains.


 

Citation :

They used an alloyed semiconductor material, called indium gallium arsenide (or InGaAs), which is increasingly being lauded as a faster, more efficient alternative to silicon.


 

Citation :

The device performed about 60 percent better than traditional FinFETs in “transconductance,” the researchers report. Transistors convert a small voltage input into a current delivered by the gate that switches the transistor on or off to process the 1s (on) and 0s (off) that drive computation. Transconductance measures how much energy it takes to convert that voltage.

n°10492242
Invite_Sur​prise
Racaille de Shanghaï
Posté le 22-12-2018 à 00:53:30  profilanswer
 

IBM Expands Strategic Partnership with Samsung to Include 7nm Chip Manufacturing - IBM Newsroom
 

Citation :

IBM today announced an agreement with Samsung to manufacture 7-nanometer (nm) microprocessors for IBM Power Systems™, IBM Z™ and LinuxONE™, high-performance computing (HPC) systems, and cloud offerings.


 

Citation :

"We are excited to expand our decade-long strategic relationship with IBM with our 7nm EUV process technology," said Ryan Lee, Vice President of Foundry Marketing at Samsung Electronics. "This collaboration is an important milestone for Samsung's foundry business as it signifies confidence in Samsung's cutting-edge high performance EUV process technology."

n°10662579
Invite_Sur​prise
Racaille de Shanghaï
Posté le 29-11-2019 à 12:43:28  profilanswer
 

Samsung Electronics lands PC CPU order from Intel - PulseNews (KR)
 

Citation :

Samsung Electronics Co. won orders to produce desktop processor chips for Intel
 
“Samsung is expected to benefit from TSMC’s tight production schedule,” said Kim Yang-jae, analyst at KTB Investment & Securities. “More chip orders are likely to come from Intel and Qualcomm next year.”

n°10671877
Invite_Sur​prise
Racaille de Shanghaï
Posté le 17-12-2019 à 10:29:50  profilanswer
 

Intel’s Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm - AnandTech
 
http://tof.cx/images/2019/12/17/0cce1f34745ea009f2e56f3b92dfe4de.md.jpg
 

Citation :

Intel expects to be on 2 year cadence with its manufacturing process node technology, starting with 10nm in 2019 and moving to 7nm EUV in 2021, then a fundamental new node in each of 2023, 2025, 2027, 2029. This final node is what ASML has dubbed '1.4nm'. This is the first mention on 1.4nm in the context of Intel on any Intel-related slide. For context, if that 1.4nm is indicative of any actual feature, would be the equivalent of 12 silicon atoms across.


 
EUV Wafers Processed and TwinScan Machine Uptime: A Quick Look - AnandTech
 
http://tof.cx/images/2019/12/17/63da58c2b9a61ee1c211c9035cbffeca.md.jpg
 
http://tof.cx/images/2019/12/17/f1471a7ceb8efa0061be5d56dc5812bb.md.jpg
 

Citation :

Beyond the NXE lines of machines will be the EXE:5000 series. What makes these machines different is that they are built for the equivalent of TSMC’s 3nm / Intel’s 5nm processes by using ‘High-NA’ technology, technically moving from 0.33 NA optics to 0.55 NA optics, which will help improve manufacturing features at smaller and smaller resolutions. It will be interesting to see if the speed of the High-NA EXE machines will be similar or better to the NXE machines. Based on ASML’s presentation, High-NA machines should be coming into the market by 2023, by which time EUV use should be extensive at the leading edge.


 
IEDM 2019 – TSMC 5nm Process - SemiWiki
 
http://tof.cx/images/2019/12/17/d12c23470686fdd7e5eabd76030bc77a.jpg
 

Citation :

Another interesting EUV comment, I am hearing Samsung has a very high dose for their EUV process for critical layers and I have heard TSMC’s EUV dose is much lower with TSMC a >2x throughput advantage over Samsung> This is also consistent with reports that Samsung is having trouble getting enough wafers through their EUV tools. At another conference I saw an IBM presentation where they discussed developing the 5nm process with Samsung. They said that they turned up the EUV dose until they got good yield and transferred the process to Samsung with the idea that Samsung would then work on reducing the dose. It sounds like the process may have been rushed into production before reducing the EUV dose.


Message édité par Invite_Surprise le 17-12-2019 à 10:39:28
n°10754755
Invite_Sur​prise
Racaille de Shanghaï
Posté le 22-04-2020 à 12:49:22  profilanswer
 

TSMC Ramps 5nm, Discloses 3nm to Pack Over a Quarter-Billion Transistors Per Square Millimeter - Wiki Chip Fuse
 

Citation :

This earnings call marks the first time TSMC started disclosing actual information about its 3-nanometer (N3) node. C.C. Wei says the company’s N3 remains on track with risk production scheduled for 2021. TSMC is targeting volume production in the second half of 2022. The company says that after evaluating all the possible device technology options, it had decided to continue with FinFET for N3 due to its maturity, performance, and cost advantages.
 
In terms of density, TSMC says N3 will be another full node stride over N5 with a density improvement of 1.7x over N5. By our estimates, N3 should offer a cell-level density of just under 300 million transistors per millimeter square.


 

Citation :

In terms of performance and speed, compared to N5, TSMC says N3 will provide 10-15% speed improvement at iso-power or 25-30% power reduction at iso-speed.


 
http://tof.cx/images/2020/04/22/88f0b7d7e717e9122f5e084fd692ce64.png
 
http://tof.cx/images/2020/04/22/a8d72d9fcbf21bc3a14652bffd59005e.png

n°10762266
Invite_Sur​prise
Racaille de Shanghaï
Posté le 06-05-2020 à 19:20:53  profilanswer
 

Can TSMC Maintain Their Process Technology Lead - SemiWiki
 
http://tof.cx/images/2020/05/06/de7cebbbddfb6b6ee56fafd1e533abb8.jpg
 
http://tof.cx/images/2020/05/06/1bc59d5de4f27751b94b20d999d1776f.jpg
 
http://tof.cx/images/2020/05/06/cce2734fee6bdf458b5c4f45d3f7ed59.jpg
 

Citation :

TSMC took the process density lead this year with their 5nm process. Depending on the exact timing of Intel’s 7nm process versus TSMC 3nm Intel may briefly regain a process density lead but TSMC will quickly pass them with their 3nm process with over 300 million transistors per millimeter squared !

mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Page Suivante

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR