Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1709 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°7858183
gliterr
Posté le 08-04-2011 à 10:17:26  profilanswer
 

Reprise du message précédent :
On en a parlé sur le sujet des future Radeon.
Ma foi, TSMC prend son temps pour un process attendu en fin d'année, il se pourrait que GloFo leur grille la politesse.

mood
Publicité
Posté le 08-04-2011 à 10:17:26  profilanswer
 

n°7864540
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-04-2011 à 00:04:25  profilanswer
 

Ca y est ! On commence enfin à parler des ATOM en 22nm... Gros gains de perfs en vue !  :love:  
Intel Designs Cloverview System-on-Chip for Tablets, Discloses Plans for 22nm Atoms.

Citation :

At the Intel Developer Forum in Beijing, China, Intel Corp. has announced a new system-on-chip (SoC) project featuring Atom micro-architecture designed for tablet PCs. The novelty is called Cloverview and will be made using 32nm process technology.
 
"We are accelerating the Intel Atom product line to now move faster than Moore's law, bringing new products to market on three process technologies in the next 3 years," said Doug Davis, vice president and general manager of the netbook and tablet group at Intel.
 
Aggressive Ultra-Mobile Plans
Intel's plans for ultra-mobile devices are aggressive and include a number of brand new offerings. Unlike in case of desktop and notebook processors, Intel seems to continue to use similar process technologies for multiple ultra-mobile designs, which may mean that the number of such designs will grow.
 
"New [ultra-mobile] products on three process generations of process technologies [are due] over the next three years. Our 45nm products are shipping in volume today, 32nm [SoCs] will ship in volume over the next six months, 22nm products will ship in volume in the next 24 months. Combined with architectural enhancements, these new products will deliver stunning performance, dramatically lower power consumption and the ability to integrate new features," said Mr. Davis.
 
The world’s largest maker of chips so far released two 45nm SoCs for smartphones and tablets code-named Moorestown and Oak Trail, the former can enable minimal (for x86-based solutions) power consumption and the latter fully supports operation of Microsoft Windows operating system. Later this year the company will launch 32nm code-named Medfield system-on-chip aimed specifically at smartphones, but going forward it would also release an SoC code-named Cloverview.
 
Made using 32nm fabrication process, Cloverview will be Intel’s next-generation offering for tablets. Unfortunately, it is not known whether the Cloverview will take advantage of all the modern features of the code-named Cedar Trail next-generation Atom processor platform, or will be largely based on the Medfield design.
 
"I would like to share some information about the coming products and what is next. Later this year we are going to disclose more information about our tablet processors called Medfield and Cloverview. Both will be built on Intel's 32nm high-k metal gate technology, so you should expect even lower power, smaller foot-print and integration of new-features along with stunning performance, " said the head of netbook and tablet business unit at Intel.
 
Even though Intel is pinning a lot of hopes onto its ultra low-power products and publicly expresses hopes that products like Medfield will open doors to smartphones, many companies will likely first use it for tablets. A number of Intel customers plan to showcase “devices” using code-named Medfield SoC at Computex Taipei 2011 later this year.
 
New Form-Factors Incoming
But Intel does not bet only onto netbooks, slates and smartphones. The company fully understands that there are more form-factors to emerge, including sliders, convertibles, detachable tablets and so on. Naturally, Cloverview, Medfield will find themselves inside many types of personal computers.
 
"There are new hardware developments, that are taking place. Things that are the most prominent mobile devices are things like netbooks (clamshell type of form-factors) and tablets (that are slates). But we are seeing a significant amount of innovation in a whole new category of devices that are beginning to emerge. Devices such as convertibles, sliders, detachable, dual-touch screens, all are combining features from phones and tablets," said Mr. Davis.
 
Those devices are currently considered as companion computers. Nobody really knows how successful they will be. Intel believes that it will take a decade for this market to develop and therefore does not have a lot to worry about: the company has historically proven that it can develop solutions relevant to market requirements.

http://www.xbitlabs.com/news/mobil [...] blets.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7874022
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 22-04-2011 à 00:17:32  profilanswer
 
n°7879177
Invite_Sur​prise
Racaille de Shanghaï
Posté le 26-04-2011 à 17:39:49  profilanswer
 

Moore's Law to hit snag in 6-8 years, says TSMC chairman - Digitimes
 

Citation :

Semiconductor technological development will be faced with bottlenecks within the next 10 years, with Moore's Law to witness insurmountable technological barriers in 6-8 years, according to Morris Chang, chairman of Taiwan Semiconductor Manufacturing Company (TSMC).
 
TSMC has been participating in each stage of technology evolution in accordance with Moore's Law, Chang said. When TSMC was established in 1987, its chip-fabrication technology lagged about two generations behind those of larger peers in the industry. But it now leads the foundry industry in the development of advanced processes with only two major competitors, Chang claimed.
 
Nevertheless, Moore's Law may soon encounter a bottleneck, Chang indicated. While the continuously shrinking of process technologies poses a challenge to the industry, companies will need other design innovations to extend Moore's Law beyond its expected limits, Chang noted.
 
For instance, there is room to miniaturize PCB components, Chang said. The market for emerging applications, which highlights low power consumption and audio-video capabilities, will be where the semiconductor industry will find its new development trend, Chang indicated.
 
TSMC has already ramped up output using 28nm process technology, and is set to enter trial production on 20nm in the second half of 2012, according to company senior R&D VP Shang-yi Chiang. Chiang estimated that it would take about 10 years for TSMC to transition to sub-10nm nodes.


 
GLOBALFOUNDRIES, launched in 2011 to 28nm process - PCWatch.co.jp
 
http://tof.canardpc.com/view/adb23d6a-d1c4-45f9-847a-29a3411378ab.jpg
 
http://tof.canardpc.com/view/b560fb81-8e83-4560-948c-5362cd9f0bdb.jpg


Message édité par Invite_Surprise le 26-04-2011 à 22:24:20
n°7881678
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 28-04-2011 à 14:09:09  profilanswer
 

Le futur des connecteurs ; intel vise 2015
 
Intel Eyes Post-Thunderbolt Interconnect for 2015
 
A new interconnect technology being developed by Intel could be ready for use by 2015 and will be able to shuttle data between computers at up to five times the speed of its recently launched Thunderbolt technology, an Intel researcher said on Wednesday.
 
The new technology uses silicon photonics, which combines silicon components with optical networking, to carry data at up to 50 gigabits per second over distances of up to 100 meters, said Jeff Demain, strategy director of circuits and system research at Intel Labs, at a company event in New York.
 
Intel expects the technology to be ready for use in PCs, tablets, smartphones, televisions and other products by 2015, Demain said. As well as being faster than today's interconnect technologies, it's expected to lower costs because the components will be built using existing silicon manufacturing techniques.
 
The technology could be used in TVs and set-top boxes to carry video streams at much higher definition than those available today. Image resolution is likely to quadruple by the middle of the decade, when successors to 1080p have arrived, and that will mean more data has to be pushed to the TV.
 
It should also enable faster data transfers between smartphones, tablets, PCs and peripherals such as external storage drives.
 
The technology still has a way to go, but Intel showed its progress at the event in New York Wednesday. It showed what it said were working prototypes of the silicon chips used to transmit and receive the laser signals.
 
It also showed mock-ups of the cables that will carry the data. They were not working samples, and Intel did not show the interconnect technology in action, but it showed how the cables will be thinner than those used for Thunderbolt and USB 3.0.
 
Thunderbolt, introduced in February, can transfer data between devices at up to 10 gigabits per second. Intel developed the technology with Apple, which offers Thunderbolt ports in its new MacBook Pro laptops. The initial version uses copper wires, but Intel hopes to start using optical cables next year.
 
Thunderbolt already helps reduce the number of chips and connector ports in devices by supporting both the PCI-Express and DisplayPort protocols. The new photonics technology should support those protocols as well as others, Demain said.
 
Thunderbolt will likely coexist alongside the new technology in some devices, he said. "We see them as complementary. It's the evolution of these connectors and protocols as they move forward," Demain said. "Thunderbolt is more than a cable. It's a router chip that aggregates DisplayPort and PCI-Express."
 
Intel has been researching silicon photonics for some time, as have IBM, Hewlett-Packard and other vendors. IBM has been exploring its use for connecting transistors on chips, rather than just between larger devices.
 
Before the technology comes to market, Intel plans to combine the transmitter and receiver components into a single chip, and also to shrink the chips to a size where they will fit inside smartphones and tablets.
 
The silicon lasers can be made using existing manufacturing techniques, which will help keep costs down and is partly why chip makers like Intel and IBM are interested in it.
 
"We have to use the silicon manufacturing technologies we know," Demain said. "That's what the promise of the technology is. It is based on a silicon foundation."
 
http://www.pcworld.com/article/226 [...] _2015.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7881898
Dark Schne​iderr
⭐⭐
Posté le 28-04-2011 à 16:34:43  profilanswer
 

Et Thunderbolt c'est à la fin de l'année ?

n°7888718
Invite_Sur​prise
Racaille de Shanghaï
Posté le 04-05-2011 à 15:50:08  profilanswer
 

Intel to Make Its "Most Significant Technology Announcement of the Year" - XBitLabs
 

Citation :

Many industrial observers believe that the company will detail its 22nm process technology along with its new manufacturing strategy (which may include elements of foundry business), its new Medfield system-on-chip for smartphones along with certain plans for consumer and low-power electronics. In addition, Intel may make a significant announcement concerning its general strategies for different markets.


 

Citation :

"We remain on track to begin production on our 22nm silicon process technology by the end of this year. This revolutionary technology will further distance Intel from the competition across all segments of computing," said Paul Otellini, chief executive officer of Intel, during a recent conference call with financial analysts.


 
Intel Announces first 22nm 3D Tri-Gate Transistors, Shipping in 2H 2011 - AnandTech
 
http://tof.canardpc.com/view/6c192add-3673-4b82-8bff-8f6115cf5bb3.jpg
 
http://tof.canardpc.com/view/8242f694-053f-48b2-8fe4-e93f1b35259e.jpg
 

Citation :

What Does This Mean
 
Intel's Ivy Bridge is currently scheduled for a debut in the first half of 2012. Intel is purposefully being vague about the release quarter as Sandy Bridge is doing well and isn't facing much competition at the high end at least.
 
The impact of Intel's 22nm 3D Tri-Gate transistors on high end x86 CPUs will be significant. Intel isn't expecting its competitors to move to a similar technology until 14nm. The increases in switching speed at the same voltage could allow Intel to finally hit or exceed that magical 4GHz barrier in a stock CPU. I suspect Intel will likely use the gains to deliver lower power CPUs however there's always the possibility of some very fast Extreme Edition parts


Message édité par Invite_Surprise le 04-05-2011 à 23:02:42
n°7890645
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 06-05-2011 à 05:31:59  profilanswer
 
n°7908385
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 20-05-2011 à 14:44:41  profilanswer
 

Le 14nm dans 3ans ; objectif 2014
 
Intel annonce la couleur :
 
http://images.anandtech.com/doci/4345/AtomRoadmap_575px.jpg
 

Citation :

Finally, Intel published a new generalized roadmap for Atom through 2014. Unfortunately they aren’t going into any significant detail on architecture here – while Silvermont is named, nothing is confirmed besides the name and manufacturing process – but it’s a start, and it ends with a shocker. We will see Silvermont in 2013 on Intel’s 22nm process, likely hand-in-hand with Intel’s aforementioned plans for additional SoC variations.
 
Far more interesting however is that Intel didn’t stop with Silvermont on their Atom roadmap. Intel’s roadmap goes out to 2014 and includes Silvermont’s successor: Airmont. We know even less about Airmont than we do Silvermont, but a good guess would be that it’s the tick in Intel’s tick-tock cadence for Atom. The biggest news here is that with a move to tick-tock for Atom, Intel is finally accelerating the production of Atom parts on their newer fab processes. Currently Atom processors are a year or more behind Core processors for using a new process, and even with Silvermont that’s still going to be the case. But for Airmont that window is shrinking: Airmont will be released on Intel’s forthcoming 14nm process in 2014, the same year as their respective Core processor. Intel hasn’t specified when in 2014 this will be, and it’s likely it will still be months after 14nm launches for Core processors, but nevertheless it’s much sooner than it has been before.


 
A lire sur http://www.anandtech.com/show/4345 [...] om-in-2014
 
***********
 
HP sur la bonne voie concernant le remplacement de la NAND ?
 
Face aux Mram, Pram et compagnie, HP avance bien ==> http://forum.hardware.fr/hfr/Hardw [...] m#t7908402


Message édité par super_newbie_pro le 20-05-2011 à 14:55:16

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7913555
Zack38
Posté le 24-05-2011 à 13:09:24  profilanswer
 

Le graphène dévoile une autre propriété miracle
 
Décidément, le graphène accumule les propriétés miracles ! Non seulement cette feuille de carbone mesure un atome d'épaisseur et conduit l'électricité mieux qu'aucun autre matériau, augurant de nouveaux composants électroniques plus petits et moins gourmands en énergie... mais en plus, elle s'autorefroidit ! C'est ce que viennent de découvrir des chercheurs de l'université de l'Illinois. Étudiant les échanges thermiques au niveau des connexions métalliques reliant un transistor en graphène au reste du circuit électronique, Eric Pop et son équipe ont découvert un effet refroidissant suffisamment important pour compenser la chaleur produite lors du passage du courant, à cause de la résistance du matériau. "Ce phénomène refroidissant est connu. Il se produit dans tous les composants semiconducteurs, explique Eric Pop. Seulement là, il est incroyablement intense !" Dans le fameux cristal, le refroidissement est en effet assez fort pour refroidir localement le transistor. Une aubaine ! Car la miniaturisation des composants électroniques est justement limitée par l'évacuation de la chaleur... Encore mieux : d'après les simulations, l'effet refroidissant pourrait être renforcé lorsque les connexions métalliques auront été améliorées. "Je ne doute pas que cela arrivera !, assure Eric Pop. Car l'électronique au graphène n'en est qu'à ses balbutiements..." M.F.
 
Source : S&V n°1125, Juin 2011
 
Et moi, je crois qu'on a tout trouvé le successeur du silicium dans les industries du futur... :sol:

mood
Publicité
Posté le 24-05-2011 à 13:09:24  profilanswer
 

n°7913560
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 24-05-2011 à 13:14:32  profilanswer
 

+1 :love: Rien que le fait d'imaginer ce que ça va pouvoir donner pour les CPU/GPU combiné à des SSD de furieux dotés de puces type Mram / Pram ou équivalent (sans limite de cycles d'écritures) ça laisse rêveur ! :love:
 
D'ailleurs à ce sujet :
 
Crocus develops their 2nd generation MRAM tech, to produce 90nm MRAM chips in Russia?
 
Crocus announced that they have successfully developed their 2nd generation MRAM products, using SVTC Technologies production ready tools, metrology and infrastructure. Crocus said that they transferred the process to a production foundry.
 
Crocus says that their MRAM technology can be scalable to 90nm, 65nm and smaller, and offers high speed, reliability, and reduced power consumption.
 
In a separate PR, Russia's Nanotechnology investment firm RUSNANO announced an investment in an 90nm MRAM production project. RUSNANO will not name the project partner, but a Russian site leaked the fact that Crocus are the partner. Crocus are also producing MRAM chips together with Israeli Tower, and will start mass production in 2H 2011. We do not know the investment in this project, but RUSNANO revealed that they will invest a total of 6.9 billion rubles ($250 million) in two projects including the MRAM one (the total investment required for both projects is 17 billion rubles, or $620 million). Mram infos
 
*************
 
Titanium oxide doped with cobalt produces magnetic properties at room temperature
 
http://www.spintronics-info.com/files/spintronicsinfo/images/thin-film-Co-TiO2.img_assist_custom-301x216.jpg Figure 1: A representation of a thin film of Co:TiO2 in which ferromagnetism arises because titanium 3d electrons (green) travel around the material aligning the spin of cobalt atoms (pink) so that they all point in the same direction. The blue and brown spheres correspond to titanium and oxygen atoms, respectively. Credit: 2011 Takumi Ohtsuki
 
(PhysOrg.com) -- Spintronics — also known as magnetoelectronics — may replace electronics as the medium of choice for computer memory. The discovery of a mechanism that produces permanent magnets at room temperature, without any external influence, may soon improve the design of spintronic devices. Takumi Ohtsuki from the RIKEN SPring-8 Center, Harima and his colleagues in Japan, made the discovery in a class of material called a dilute ferromagnetic oxide.
 
Ferromagnetism is the mechanism responsible for making some materials magnetic without any external influence. In a ferromagnet, the axes about which a majority of the electrons spin are all parallel, but the underlying cause for this alignment is not always clear. A dilute ferromagnetic oxide is an oxide material doped with a small amount of a transition metal, which represents a marriage between magnetic materials and those used in electronics. Crucially, and unlike the ferromagnetic-semiconductors, dilute ferromagnetic oxides remain in a ferromagnetic state at room temperature.  
 
Some materials have ferromagnetic constituents but exhibit no magnetism. However, some ferromagnets consist of substances that, on their own, are nonmagnetic. A full understanding of this enigma is vital for designing efficient spintronic devices and requires determining which electrons, or other type of charge carrier in a material, mediate the ferromagnetism. To resolve this question in dilute ferromagnetic oxides, Ohtsuki and his co-workers examined one commonly used example: cobalt-doped titanium dioxide (Co:TiO2). “Several mechanisms have been suggested for the origin of ferromagnetism in Co:TiO2, but no firm conclusion has been established,” says Ohtsuki.  
 
The researchers used a powerful material characterization technique known as x-ray photoemission spectroscopy. A beam of x-rays, in this case from the SPring-8 synchrotron radiation facility, excited electrons from the sample of Co:TiO2. “The number of excited electrons versus their kinetic energies provided detailed information about the atomic composition and electronic state of the material,” explains Ohtsuki.  
 
Ohtsuki and his team established that ferromagnetism is mediated by the electrons in the third shell—so-called 3d electrons—of the titanium ions (Fig. 1), a mechanism that has never been considered as a possibility by scientists before. The titanium 3d electrons align the spin of the cobalt atoms as they travel through the material.
 
The team’s discovery enhances the likelihood that dilute ferromagnetic oxides will be used as spintronic devices. “Our results have proven that magnetism and conductivity are correlated in Co:TiO2 thin films,” explains Ohtsuki. “This could make them applicable to magnetic random access memory (MRAM) or spin transistors.”
 
More information: Ohtsuki, T., et al. Role of Ti 3d carriers in mediating the ferromagnetism of Co:TiO2 anatase thin films. Physical Review Letters 106, 047602 (2011). http://prl.aps.org/abstract/PRL/v106/i4/e047602
Provided by RIKEN


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7916134
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 26-05-2011 à 01:44:05  profilanswer
 


Brevet d'AMD portant sur l'intégration de "heat pipes" directement à l'intérieur d'un CPU -> PDF

n°7917727
Invite_Sur​prise
Racaille de Shanghaï
Posté le 27-05-2011 à 09:58:52  profilanswer
 

Intel Would Be Glad to Manufacture Chips for Large Customers - XBitLabs
 

Citation :

"There are certain customers that would be interesting to us and certain customers that would not. If Apple or Sony came to us and said 'I want to do a product that involves your IA (Intel architecture) core and put some of my IP around it', I would not blink. That would be fantastic business for us," said Stacy Smith, chief financial officer of Intel, at a press conference in London, UK, reports Reuters news-agency.


 

Citation :

By the end of 2012 Intel will have five 300mm fabs that will produce chips using 22nm process technology. By the middle of the decade some of them will be capable of processing 450mm wafers to make chips using 14nm fabrication process. Under any scenarios, Intel is investing huge amounts of money into manufacturing and while the market of microprocessors for various devices is growing rapidly, Intel may still be naturally interested in making chips for others as well in order to ensure maximum utilization of capacities.


 
http://tof.canardpc.com/view/d7739b56-651f-4d1d-939f-46c0ab35771f.jpg

n°7917778
gliterr
Posté le 27-05-2011 à 10:41:19  profilanswer
 

Olah, c'est un changement assez énorme qui se dessine ici.
 
Est ce la "peur" d'un adversaire AMD + GF (ce dernier dopé aux pétro dollars) qui les fait réagir ? Ou alors se dire qu'avec les wafers en 450mm, leur production va largement dépasser la vente des puces purement Intel ?

n°7917782
Gigathlon
Quad-neurones natif
Posté le 27-05-2011 à 10:49:54  profilanswer
 

gliterr a écrit :

Olah, c'est un changement assez énorme qui se dessine ici.

 

Est ce la "peur" d'un adversaire AMD + GF (ce dernier dopé aux pétro dollars) qui les fait réagir ? Ou alors se dire qu'avec les wafers en 450mm, leur production va largement dépasser la vente des puces purement Intel ?


Je dirais l'augmentation colossale des coûts de mise à niveau des fabs, tout simplement...

 

Les wafers de 450mm ont aussi indirectement pour but de réduire ces coûts, en augmentant la production, mais si Intel reste dans son coin cette capacité de production n'aura pour effet que d'augmenter le coût (car graver des galettes de 450mm implique d'acheter du matériel qui en soit capable, or ce matériel est plus cher que pour les galettes de 300mm).

 

D'autre part, on a toujours qu'Intel sur le 32nm et il est fort possible que les finFETs en 22nm soient non pas un avantage décisif mais un palliatif à un process problématique (soit trop long à arriver à maturité, soit très peu performant). Ca on ne le saura que quand Ivy arrivera, mais Zambezi et Llano pourraient donner une indication.


Message édité par Gigathlon le 27-05-2011 à 10:50:07
n°7917791
gliterr
Posté le 27-05-2011 à 10:59:46  profilanswer
 

Pour le coût des mise à niveau de leur usines, Intel a encore le pognon pour le faire.
Effectivement, s'ils veulent le faire plus en douceur, avoir d'autres clients peut être un moyen.

n°7917904
Gigathlon
Quad-neurones natif
Posté le 27-05-2011 à 12:54:39  profilanswer
 

gliterr a écrit :

Pour le coût des mise à niveau de leur usines, Intel a encore le pognon pour le faire.


Pas si sûr qu'ils aient suffisamment de pognon pour conserver leur "avance" en restant seuls.

n°7918379
Invite_Sur​prise
Racaille de Shanghaï
Posté le 27-05-2011 à 18:15:19  profilanswer
 

gliterr a écrit :

Olah, c'est un changement assez énorme qui se dessine ici.
 
Est ce la "peur" d'un adversaire AMD + GF (ce dernier dopé aux pétro dollars) qui les fait réagir ? Ou alors se dire qu'avec les wafers en 450mm, leur production va largement dépasser la vente des puces purement Intel ?


C'est plus ARM qui fait flippé Intel qu'AMD ...

n°7918395
Gigathlon
Quad-neurones natif
Posté le 27-05-2011 à 18:25:13  profilanswer
 

Invite_Surprise a écrit :

C'est plus ARM qui fait flippé Intel qu'AMD ...


Je me le demande...

 

AMD et Intel se dirigent dans la même direction, et si Intel a déjà fait un Larabee (pourri), AMD possède pas mal de cartes aussi avec les GPU et BD qui arrive.

 

Le discours d'Intel depuis quelque temps ne tient pas la route, on ne compare pas un ARM de smartphone (<500mW) à un Atom (2-8W). Est-il besoin de rappeler également qu'un GPU est plus proche d'un assemblage de cores ARM que d'un CPU x86/x64?

Message cité 1 fois
Message édité par Gigathlon le 27-05-2011 à 18:27:19
n°7918500
Invite_Sur​prise
Racaille de Shanghaï
Posté le 27-05-2011 à 20:22:30  profilanswer
 

Gigathlon a écrit :


Je me le demande...
 
AMD et Intel se dirigent dans la même direction, et si Intel a déjà fait un Larabee (pourri), AMD possède pas mal de cartes aussi avec les GPU et BD qui arrive.
 
Le discours d'Intel depuis quelque temps ne tient pas la route, on ne compare pas un ARM de smartphone (<500mW) à un Atom (2-8W). Est-il besoin de rappeler également qu'un GPU est plus proche d'un assemblage de cores ARM que d'un CPU x86/x64?


Les tablets & Cie c'est pas que du 500mw ... Et puis l'archi ARM est amené à se complexifier et l'ouverture de Windows 8 au monde ARM va pas arranger les choses [:backfire]

n°7918519
Gigathlon
Quad-neurones natif
Posté le 27-05-2011 à 20:32:37  profilanswer
 

Invite_Surprise a écrit :

Les tablets & Cie c'est pas que du 500mw ...


Les tablettes je ne sais pas, mais pour les smartphones y'a pas de secret... quand t'as une batterie de ~5Wh, tu ne peux pas mettre un CPU qui tire 2W+ et encore plus de 100mW en C6.

n°7918556
Invite_Sur​prise
Racaille de Shanghaï
Posté le 27-05-2011 à 20:58:26  profilanswer
 

Gigathlon a écrit :


Les tablettes je ne sais pas, mais pour les smartphones y'a pas de secret... quand t'as une batterie de ~5Wh, tu ne peux pas mettre un CPU qui tire 2W+ et encore plus de 100mW en C6.


T'inquiétes pas Gigathlon, Intel a certainement tout un panel d'ingés pour plancher sur la question afin d'exploiter au maximum l'avancée technologique de façon à engranger encore plus de PDM.
C'est un marché en pleine expansion et Intel va vouloir sa part du gateau  :jap:

n°7918567
Gigathlon
Quad-neurones natif
Posté le 27-05-2011 à 21:07:50  profilanswer
 

Oui... à moins que les déclarations publiques ne soient qu'une façon de rassurer les actionnaires alors qu'ARM prend de plus en plus de parts de marché CPU et menace même sur certains serveurs.

Message cité 1 fois
Message édité par Gigathlon le 27-05-2011 à 21:08:16
n°7918604
Invite_Sur​prise
Racaille de Shanghaï
Posté le 27-05-2011 à 21:40:01  profilanswer
 

Gigathlon a écrit :

Oui... à moins que les déclarations publiques ne soient qu'une façon de rassurer les actionnaires alors qu'ARM prend de plus en plus de parts de marché CPU et menace même sur certains serveurs.


Les dirigeants d'ARM sont assez clairs sur ce dernier point. Sur les serveurs à faible charge, ils sont capables de fournir le service avec un coût électrique bien moindre.
Ils ne veulent pas concurrencer Intel dans une course effrénée à la performance où ils sont pratiquement sûr de perdre et il vont jouer avec leurs armes.
Ils vont certainement bosser dans leur coin pour augmenter les perfs sans que l'impact sur la consommation ne soit trop élevé et, pourquoi pas, se lancer dans des domaines de computing plus exigeants.
 
Y'a une très chouette vidéo du Executive Vice President d'ARM, Simon Segars, sur la question de la concurrence avec Intel en provenance de l'excellent channel ExtensionMedia  :jap:  
 

n°7919263
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 28-05-2011 à 14:35:52  profilanswer
 

Invite_Surprise a écrit :

T'inquiétes pas Gigathlon, Intel a certainement tout un panel d'ingés pour plancher sur la question afin d'exploiter au maximum l'avancée technologique de façon à engranger encore plus de PDM.


Intel a tellement d'ingés et une telle avance technologique qu'il vient d'annoncer que ses futurs Atom intégreraient un IGP made by PowerVR.  [:metos:1]

Message cité 1 fois
Message édité par Wirmish le 29-05-2011 à 14:09:49
n°7919611
Gigathlon
Quad-neurones natif
Posté le 28-05-2011 à 19:29:43  profilanswer
 

Ca c'est pas un mal hein, ça vaut environ 1.000.000.000.000.000.000 de fois mieux qu'un dérivé de Larrabee.

 

PowerVR a en main une archi qui ne bouffe que très peu de BP/RAM, c'est exactement ce qu'il faut pour les segments "basse conso". Intel peut au passage plancher sur une version maison selon la licence qu'ils ont.

Message cité 1 fois
Message édité par Gigathlon le 28-05-2011 à 19:31:03
n°7921582
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 30-05-2011 à 15:08:43  profilanswer
 

Wirmish a écrit :


Intel a tellement d'ingés et une telle avance technologique qu'il vient d'annoncer que ses futurs Atom intégreraient un IGP made by PowerVR.  [:metos:1]


 

Gigathlon a écrit :

Ca c'est pas un mal hein, ça vaut environ 1.000.000.000.000.000.000 de fois mieux qu'un dérivé de Larrabee.
 
PowerVR a en main une archi qui ne bouffe que très peu de BP/RAM, c'est exactement ce qu'il faut pour les segments "basse conso". Intel peut au passage plancher sur une version maison selon la licence qu'ils ont.

PowerVR ? Nom d'un newbie je ne connaissais pas... Mais quid des perfs face à AMD (je parle de l'IGP bien sûr)


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7921644
gliterr
Posté le 30-05-2011 à 15:59:30  profilanswer
 

Power VR ? Ca n'est pas des inconnus pourtant.
Kyro, Dreamcast, tout ca.
 
Pour les bench de ce genre de puce, ma foi, c'est encore un peu artisanal.
 
http://www.anandtech.com/show/4079 [...] chmarked/2

n°7935146
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 10-06-2011 à 21:22:38  profilanswer
 

Aujourd'hui est un grand jour mes amis
 
Avancée majeure : IBM signe le premier die en graphène
vendredi 10 juin 2011 par David Civera -
source: Tom's Hardware FR http://www.presence-pc.com/actuali [...] ene-43993/
 
http://media.bestofmicro.com/IBM-graphene-transistor,P-I-238086-3.jpg
 
Un article d’IBM paru dans la revue Science déclare que le fondeur a réussi, pour la première fois au monde, à graver un wafer en carbure de silicium avec des circuits et transistors en graphène couplés à des bobines. La puce en question est un convertisseur de fréquence radio pouvant fonctionner à 10 GHz. Big Blue affirme qu’elle dispose d’une stabilité thermique impressionnante. Concrètement, elle peut fonctionner à une température variant entre 300 Kelvins (26,85 °C) et 400 Kelvins (126,85 °C) sans perte de performance, le bruit n’augmentant que d’un décibel. On obtient ainsi un die qui ne craint pas les changements extrêmes de température. C’est un premier pas très prometteur.
 
http://media.bestofmicro.com/Charts-IBM-wafer,B-V-295915-3.jpg
 
Le graphène est une feuille composée d’atomes de carbone organisés en un treillis de cristaux hexagonaux que l’on compare communément à un nid d’abeille. En février 2010, IBM avait montré un transistor en graphène fonctionnant à 100 GHz (cf. « Le transistor en graphène le plus rapide »). Le fait qu’il ait maintenant réussi à graver un wafer entier est un grand pas en avant. Big Blue est conscient que le graphène ne remplacera pas le silicium de si tôt, si jamais. Il est néanmoins indéniable que les découvertes publiées aujourd’hui sont très symboliques.
 
Jusqu’à présent, les recherches publiées en 2009 et 2010 par MIT, l’Université Rice et l’Université de la Californie Riverside s’étaient contentées de créer des dies composés d’un transistor en graphène connectés à des éléments passifs situés à l’extérieur du die. Les résultats étaient intéressants, mais la puce souffrait de cet arrangement. Concrètement, le papier publié par MIT en 2010 présentait un convertisseur de fréquences radio fonctionnant à 10 MHz. Aujourd’hui, celui d’IBM peut atteindre 10 GHz. Le fait de regrouper tous les composants sur un même die et de pouvoir tirer parti d’un wafer en carbure de silicium et de transistors et circuits en graphène améliore grandement les performances.
 
Courbe d'évolution du bruit en fonction de la température (Source : Science)Courbe d'évolution du bruit en fonction de la température (Source : Science)Le graphène dispose de propriétés électriques et thermiques très prometteuses. C’est un excellent conducteur qui tolère de grands changements de températures et s'adapte mieux à l’augmentation de la finesse de gravure que le silicium qui souffre aussi beaucoup plus de la chaleur. Le graphène est donc la terre promise des processeurs et autres composants fonctionnant à l’aide de semiconducteurs, ce qui explique qu’il est objet de nombreuses recherches. Les scientifiques veulent faciliter sa production et surtout comprendre comment il peut être manipulé (cf. « Vers des transistors au graphène » ou « Du graphène et de l’eau comme transistor »). Les laboratoires du monde entier travaillent sur des méthodes de fabrication aussi diverses les unes que les autres. Certains cherchent à déposer des agents chimiques. D’autres chauffent le bout d’un microscope à force atomique pour exfolier la couche d’oxyde et révéler le graphène (cf. « Graver en 12 nm sur du graphène »). D’autres enfin travaillent sur des wafers en carbure de silicium qui combinent le carbone et le silicium. Bref, les puces en graphènes sont encore loin des marchés grand public, mais sont aussi un sujet fondamental qui passionne les chercheurs et les industriels. Ce n’est d’ailleurs pas un hasard si le prix Nobel de physique de l’an dernier fut attribué à Andre Geim et Konstantin Novoselov qui ont découverts le graphène en 2004 à partir du graphite et ont fait la lumière sur ses propriétés physiques et électriques.
 
http://media.bestofmicro.com/IBM-wafer-graphene,B-U-295914-3.jpg
http://media.bestofmicro.com/IBM-wafer-graphene,B-T-295913-3.jpg
http://media.bestofmicro.com/graphene,V-T-231833-3.jpg
Le die d'IBM (Source : Science)
 
Les défis liés à la fabrication d’un wafer en graphène
 
Sept ans après la découverte du graphène, la fabrication en masse de puces utilisant ce matériau est encore loin et si les chercheurs se limitent à des convertisseurs de fréquences, c’est parce qu’ils ont une architecture suffisamment simple pour ne pas entraver les expériences déjà complexes. C’est une technique très courante dans l’industrie. Les fondeurs testent de nouvelles finesses de gravure en fabriquant des modules de mémoires, car ce sont des structures basiques qui permettent de parfaire plus facilement un demi-pitch avant de passer à une architecture complexe, comme celle d’un processeur x86. (cf. « Miniaturisation des transistors et agrandissement des wafers »). De même, le graphène représente un défi important pour les scientifiques, ce qui explique qu’ils cherchent à graver une structure simple, comme celle d’un convertisseur de fréquence.
 
Concrètement, un die en graphène est plus complexe à produire, car ses propriétés exigent des processus de fabrication différents. Par exemple, les mécanismes de formation de contacts ohmiques doivent être radicalement différents. Les contacts ohmiques sont des régions du semi-conducteur possédant une très faible résistance de contact qui est déjà tellement complexe à fabriquer sur un die en silicium classique que les experts aiment s’y référer à un art au lieu d’un processus de fabrication. Le graphène est aussi complexe parce qu’il adhère mal aux métaux et aux oxydes, ce qui rend la création de circuits intégrés encore plus difficile. De plus, le graphène gère mal le traitement au plasma, un processus qui tente de modifier les propriétés physiques et chimiques d’une surface et qui est une étape nécessaire pour la fabrication d’un die.
 
Le papier d’IBM décrit pour la première fois des processus de fabrication à l’échelle du wafer. Il n’est donc plus question de fabriquer un transistor, mais une série de dies sur une galette. L’architecture d’IBM était composée d’un transistor en graphène couplé à deux bobines. Le circuit intégré dispose d’une surface de 1 mm2. Concrètement, les chercheurs posent deux à trois couches de graphène sur un wafer en carbure de silicium (SiC). Ils utilisent l’épitaxie, un processus qui va faire croître les couches de graphène sur la face en silicium du SiC. Cette technique demande une température de 1 400 °C. Le graphène est ensuite couvert d’une couche de polyméthacrylate de méthyle (PMMA) de 140 nm d’épaisseur. En vulgarisant, il s’agit d’une couche de plexiglas. Ils ajoutent à cela une couche de résine HSQ (silsesquioxane d’hydrogène) de 20 nm d’épaisseur utilisée dans la lithographie à faisceau d’électrons. Le tout est ensuite traité au plasma à oxygène pour retirer tout excès de graphène et assurer que la couche HSQ-PMMA vient protéger le graphène qui se trouve en dessous. Comme on peut le voir, cette couche de plexiglas et résine répond au problème de traitement au plasma du graphène.
 
Le HSQ réagit au faisceau d’électrons qui vient graver les circuits nécessaires. Les sections du wafer bombardées par le faisceau d’électrons vont ensuite réagir à de l’acétone pour révéler les circuits en graphène. Cette technique est fondamentale, car elle vient résoudre un des problèmes de fabrication d’un wafer en graphène. Le fait que le reste du wafer soit couvert d’une couche de HSQ-PMMA permet d’apposer les métaux et oxydes nécessaires au bon fonctionnement de la puce. Les contacts ohmiques pour la source et le drain, ainsi que l’électrode de la grille sont composés d’une couche de 20 nm de palladium et 40 nm d’or. Comme nous le mentionnions au début, les métaux n’adhèrent pas au graphène. En utilisant une couche de HSQ-PMMA et en ne révélant le graphène que pour les canaux actifs de la source et du drain qui vont servir à faire transiter les électrons, il est possible d’installer les composants nécessaires tout en profitant des propriétés électriques du graphène.
 
La source et le drain sont apposés sur les canaux de graphène révélés par l’acétone. C’est la première couche métallique ou M1. Vient ensuite la couche isolante d’oxyde d’aluminium (Al2O3) de 20 nm qui va séparer le couple source - drain de la grille qui représente la deuxième couche métallique (M2). On installe ensuite des espaceurs en dioxyde de silicium de 120 nm d’épaisseur qui vont isoler les bobines (M3) des couches M2 et M1. Cette technique permet donc, pour la première fois, de grouper tout ce petit monde sur un même die de carbure de silicium.
 
ZoomIBM a obtenu une architecture très simple qui semble avoir été gravée en 300 nm et qui utilise une grille d’une longueur de 550 nm, selon le papier. Les scientifiques affirment qu’il serait possible d’adapter la méthode de fabrication décrite aujourd’hui aux méthodes lithographiques optiques, comme celles utilisant un laser à fluorure d'argon qui est beaucoup plus courant dans les usines actuelles et bien plus rentables que le faisceau d'électron. Ils sont aussi conscients qu’il est nécessaire d’utiliser une couche isolante d’un diélectrique High-K, comme une couche de dioxyde de hafnium de 2 nm, au lieu de celle utilisée aujourd’hui et qui dispose de piètres performances. Le message d’IBM est qu’une meilleure couche isolante et une miniaturisation de la grille qui atteindrait 40 nm de longueur permettraient d’augmenter les performances du transistor par 10 et obtenir des dies qui pourraient être fabriqués en masse et concurrencer les modèles d’aujourd’hui en silicium.
 
La puce d’IBM a des propriétés nettement supérieures à celle des autres chercheurs travaillant sur le graphène, mais elle reste en dessous des modèles vendus aujourd’hui. Bref, il reste encore beaucoup de progrès à faire, mais ce premier die au graphène est une étape fondamentale dans la miniaturisation des transistors et l’ère post-silicium. Pendant la rédaction de cet article, une citation nous est souvent revenue en tête. Nous terminerons donc par la célèbre phrase de Neil Armstrong, « ceci est petit pas pour l’homme, un bond de géant pour l’humanité ».


Message édité par super_newbie_pro le 10-06-2011 à 21:22:48

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7949452
Invite_Sur​prise
Racaille de Shanghaï
Posté le 22-06-2011 à 17:28:09  profilanswer
 

Intel : Accelerating the path to exascale - Zol.com.cn
 

Citation :

Intel MIC in existing Xeon processor products developed from the basis, for ultra high-performance computing architecture of the new born, the first product code-named "Knights Corner", plans to use 22nm 3-D Tri-Gate tri-gate transistor process, the core of more than 50.


 
http://tof.canardpc.com/view/9801fef9-30e6-4faa-b424-9767c9dd11c3.jpg
 

Citation :

Intel MIC architecture coprocessor "Auburn Isle" core photo-Knights Ferry in the heart of the development card


 
http://tof.canardpc.com/view/f98a8d9d-e662-41ad-91f6-42f92bedd200.jpg
 
http://tof.canardpc.com/view/d65f00d0-8182-4859-9335-69fab403e448.jpg
 
edit :
 
Intel Equipped to Lead Industry to Era of Exascale Computing - Official link


Message édité par Invite_Surprise le 23-06-2011 à 00:37:07
n°7966267
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 07-07-2011 à 11:08:47  profilanswer
 

TSMC pourrait ainsi multiplier par 1000 la densité des puces.
 
Un rapport du Taiwan External Trade Development Council (TAITRA), notamment connu pour être l'organisateur du Computex, indique que le fondeur TSMC produirait des puces en 3D avant Intel. Mais il ne s'agit pas tout à fait de la même 3D.
 
Là où Intel applique la 3D à ses transistors, TSMC l'appliquera à l'échelle de la puce entière pour connecter des dies empilés les uns sur les autres. Cette technologie, baptisée TSV (Through Silicon Vias) facilite l'interconnexion de plusieurs dies dans un même package en raccourcissant la longueur des connexions entre eux. Elle peut servir par exemple à empiler la mémoire cache sur les unités d'exécution au sein d'un processeur.
 
Selon le rapport de TAITRA, TSMC pourrait ainsi multiplier par 1000 la densité des puces. Celles-ci consommeraient 50 % de moins. Évidemment, devant de tels chiffres nous restons circonspects et attendons les premiers produits.
http://www.presence-pc.com/actualite/TSMC-TSV-44276/
 
 
***************
 
Toshiba sortirait une STT-MRAM en modules d'1 Gb, dans 3 ans
 
Toshiba a développé un nouvel élément magnétorésistant à effet de tunnel (Magnetic Tunnel Junction) qui aurait d’excellentes propriétés. Ces résultats permettent d’envisager de nouvelles STT-MRAM (Spin Torque Transfer - Magnetic Random Access Memory) de 1 Gb commercialisables dans trois à quatre ans, selon les propos de la firme rapportés par TechOn!. Ces STT-MRAM pourraient remplacer les modules de DRAM et SRAM utilisés comme mémoire cache dans les disques durs, les SSD ou les terminaux mobiles comme les tablettes et smartphones.
 
Une jonction magnétique à effet de tunnel est la fondation des STT-MRAM. Elle est composée de deux éléments ferromagnétiques qui sont séparés par une fine couche isolante. Toshiba n’a pas divulgué la composition exacte des éléments ferromagnétiques utilisés dans sa jonction. Les derniers travaux de l’institut japonais des sciences et technologies utilisaient un alliage de cobalt, fer et bore (CoFeB) et une couche isolante de ruthénium (cf. « Un module MRAM de plus d’1 Go »). Toshiba affirme que ses matériaux sont à base de cobalt et fer et il est permis de penser que les deux recherches sont proches.
 
Très schématiquement, la polarité de chaque élément ferromagnétique est déterminée par le spin de ses électrons. La jonction de Toshiba utilise une magnétisation perpendiculaire, ce qui veut dire le mouvement de rotation des électrons, leur spin, est soit orienté vers le haut, soit vers le bas. Le STT (Spin Torque Transfer ou transfert de spin en français) qui est aussi appelé STS (Spin Transfer Switching) est une méthode d’écriture de la cellule de mémoire. Elle consiste à envoyer un courant polarisé en spin au travers d’un des matériaux magnétiques. Concrètement, on envoie des électrons qui ont tous le même spin. En traversant l’élément ferromagnétique, ils vont changer sa polarité et ses électrons vont adopter le même spin que celui du courant polarisé.
 
Comme seul un des éléments ferromagnétiques est traversé par ce courant, seul un d’entre eux change de polarité. Si le spin de ses électrons est parallèle au spin des électrons de l’élément ferromagnétique en face de lui, la résistance entre les deux sera faible. Si les polarités s’opposent, la résistance sera forte. Bref, si le courant passe, cela représente un 0 et s’il ne passe pas un 1. Pour plus d’information sur la MRAM, qui est une mémoire non volatile, nous vous conseillons la lecture du chapitre « MRAM : la mémoire qui attire » de notre dossier Retour sur le futur des mémoires.
 
Le défi de Toshiba était de fabriquer une jonction magnétorésistante à effet de tunnel à magnétisation perpendiculaire afin de réduire la taille des cellules de mémoire. Le problème avec ce genre de structure est que l’écart de résistance entre le niveau fort et le niveau faible est trop petit pour pouvoir facilement les distinguer et penser à utiliser de plus grandes finesses de gravure. De plus, ce genre de structure demande une densité de courant importante et ipso facto une tension élevée incompatible avec les usages qui sont prévus. Le tour de force est que la jonction de Toshiba demande seulement un sixième de la densité de courant qui était requis par les anciens modules similaires de la firme. La rapport entre les résistances magnétiques a par contre fait un bon pour passer à 200 %. Cela signifie que l’écart entre la résistance forte et faible est très important. Auparavant, l’écart n’était que de 15 %. La jonction présentée par Toshiba avait un diamètre de 50 nm, mais il a confirmé la fabrication d’une jonction fonctionnelle d’un diamètre de 30 nm.
 
C’est la première fois que l’on note ce genre de performance sur une structure à magnétisation perpendiculaire. Jusqu’à présent, les systèmes étaient planaires et la taille des cellules mémoires restait trop importante. Selon Toshiba, l’ITRS (cf. « Miniaturisation des transistors et agrandissement des wafers : comprendre les enjeux technologiques ») n’attendait pas un tel niveau de performance avant 2024.
http://www.presence-pc.com/actualite/STT-MRAM-44279/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7973699
Invite_Sur​prise
Racaille de Shanghaï
Posté le 13-07-2011 à 17:08:59  profilanswer
 

TSMC reiterates plans to commercially produce 28nm chips later in 2011 - Digitimes
 

Citation :

Pure-play foundry Taiwan Semiconductor Manufacturing Company (TSMC) has said that its plans to start commercial production of chips using 28nm process this year remains unchanged. TSMC expects 28nm chips to start contributing to company revenues in the third quarter of 2011, and sales from the advanced technology will account for 2-3% of its total wafer sales in the last quarter of the year.
 
TSMC also reiterated its target of 20% growth in 2011 consolidated revenues (denominated in US dollars).
 
The remarks were made following speculation that launch of Nvidia's Kepler GPU generation may be pushed back to 2012 due to manufacturing issues at TSMC. However, according to Nvidia, its schedule has not changed. It expect to begin production in 2011 with products based on Kepler being available in 2012. AMD's upcoming Southern Islands GPU reportedly will have a similar schedule, industry sources have observed, with AMD also contracting TSMC to build the 28nm GPU generation.
 
Nobunaga Chai, semiconductor analyst at Digitimes Research, has commented that any production transfer will need to go through a certain learning curve before the process attains satisfactory yields, and it is understandable that current yield rates for TSMC's 28nm are not mature. Especially for performance-driven devices like GPUs, improving the yield rate would require more time than that for products with simpler architectures like FPGAs.
 
However, TSMC should find it easier to improve its yields on 28nm compared to 40nm as the latter requires equipment upgrades, Chai said. The foundry previously spent about a year raising its 40nm process yields to a satisfactory level.

n°7986089
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 24-07-2011 à 23:00:41  profilanswer
 

Intel suivra-t-il la voie du SOI lors du passage au 15nm ?
 

Citation :

“At 15nm, you don’t have too many choices. Bulk is more or less dead. If you insist on going with planar, you go the SOI way. Or you go the FinFET way. There is also a third variation, which will most likely gain hold, which is FinFET on SOI. Nothing is ruled in or out yet, but the excessive variability of bulk at extremely advanced nodes is giving a second life to SOI. The verdict is still out so I’m not advocating one course or the other, but the move toward FinFETs on bulk or FinFET on SOI is clearly the way to go in terms of leakage control.” - Jamil Kawa


            http://chipdesignmag.com/lpd/files/2011/01/IBM-SOI.jpg


Message édité par Wirmish le 24-07-2011 à 23:01:33
n°7991536
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 29-07-2011 à 09:51:40  profilanswer
 

Nouvelle roadmap pour intel jusqu'en 2017
 
http://www.nordichardware.com/images/labswedish/nyhetsartiklar/CPU-Chipset/Intel_2018/fullimages/536ef909a1c5dbe3159ede65b948a2181.jpg
 
We have acquired a piece of gold, a roadmap for Intel's coming microarchitectures up until 2017. It looks like the Tick-Tock strategy will continue, which was expected, but as you might have noticed Intel hasn't quite managed to keep up with the high paced schedule, although it is still leading the market.
 
Intel is leading the x86 market and when we look at its manufacturing technologies it is going into 22nm next year, while the rest is still migrating to 28/32nm. What we have today is a roadmap for Intel's server processors of the Xeon series that is traditionally one year behind the desktop and mobile processors.
 
Intel is about to introduce Sandy Bridge for servers, which in 2012 will be followed by Ivy Bridge that is basically the same architecture at 22nm and more cores.
 
During the first half of 2014 Intel is planning to release Haswell that will be the next big architecture update from Intel with new instructions like AVX2. Haswell was first intended to house a graphics circuit based on the now scrapped "Larrabee", but Intel still claims to have an ace up its sleeve, as it has promised that you will not need a discrete graphics card with Haswell in notebooks.
 
After Haswell we have Rockwell at 14nm that will largely use the same architecture as Haswell, but once again with more cores and functions, and is slated for the second half of 2015. We still know very little about Haswell and less about Rockwell, the only thing we can say is that we will see more instructions, powerful graphics and stronger cores.
 
Last but not least we two whole new code names. Skylake will appear in the second half of 2016 built on 14nm and a new architecture from Intel. Skymont comes in the true Tick-Tock spirit be based on Skylake but use 10nm technology, and will be released in the second half of 2017. We know what's coming after Skymont and what may come after 2018 is so far into the future perhaps not even Intel knows for sure what to expect.
 
Those who are not interested in servers we can add that Intel usually releases the server platforms one year after the retail products. With all the years above you can simply deduct 1 year to get the approximate launch of the retail products. http://www.nordichardware.com/news [...] -wild.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7991627
Zack38
Posté le 29-07-2011 à 11:04:31  profilanswer
 

Je n'y comprend plus rien au niveau des noms de code chez Intel.
 
Pour la génération Nehalem, les premiers Bloomfield à être commercialisés étaient donc des Tylersburg ? Et la seconde génération de Core i7, les Romley ?

n°7991650
Fouge
Posté le 29-07-2011 à 11:20:50  profilanswer
 

Zack38 a écrit :

Je n'y comprend plus rien au niveau des noms de code chez Intel.
 
Pour la génération Nehalem, les premiers Bloomfield à être commercialisés étaient donc des Tylersburg ? Et la seconde génération de Core i7, les Romley ?

Nom de code des plateformes server uniquement.

n°7996843
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 03-08-2011 à 08:17:55  profilanswer
 

Une nouvelle structure de MRAM
 
Des chercheurs français et espagnols ont publié un article dans la célèbre revue Nature qui présente un système permettant d’écrire une cellule de MRAM sans avoir besoin d’une tête magnétique ou d’un système aussi complexe que les STT-MRAM. Les résultats sont pour le moins prometteurs.
 
http://media.bestofmicro.com/MRAM,I-2-302618-3.jpg
 
La structure semble relativement simple. Une couche d’un nanomètre d’épaisseur de cobalt est prise en sandwich entre une couche de platine et un oxyde d’aluminium. Très schématiquement, lorsque le courant passe, des électrons restent emprisonnés dans le cobalt, ce qui en fait une mémoire non volatile. Il suffit de modifier l’intensité du courant pour passer d’une aimantation à l’autre. En effet, selon le schéma du communiqué de presse, une polarité pointant vers le sud équivaut à un 0, tandis qu’un mouvement vers le nord représente un 1.
 
Les résultats sont prometteurs, car le temps d’écriture d’une cellule de 200 nm2 est de 10 ns. Les chercheurs affirment qu’il serait possible de réduire la taille de la cellule et offrir de meilleures performances. Elle fonctionne aussi à température ambiante et pourrait être fabriquée en utilisant les processus lithographiques existants. La question est maintenant de savoir avec quelle facilité il est possible de passer à une production en masse. http://www.presence-pc.com/actualite/MRAM-44542/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°8026686
gliterr
Posté le 30-08-2011 à 13:39:17  profilanswer
 

Globalfoundries Tapes Out Its First 20nm Test.
 
Globalfoundries on Monday said that it had successfully taped out a 20nm test chip using flows from leading EDA vendors Cadence Design Systems, Magma Design Automation, Mentor Graphics Corp., and Synopsys. Globalfoundries said that it is ready for customers to begin evaluating their 20nm designs. The 20nm process adds several advanced manufacturing rules and requires tool providers to collaborate with foundry partners early in the development cycle.
 
“Our model of early collaboration with EDA partners accelerates the overall development cycle, and gives customers accessibility to the inner workings of the process so they can begin targeting their designs to the most advanced manufacturing capabilities with confidence. This success is a major achievement toward market readiness of our newest process, and we will continue to enhance the design enablement support available for it," said Mojy Chian, senior vice president of design enablement at Globalfoundries.
 
All four EDA companies have demonstrated that their place-and-route (P&R) tools and tech files are capable of supporting the advanced rules associated with the 20nm process. The flows include library preparation steps for double patterning technology, a complex lithography approach that raises new challenges for designers at 20nm and beyond. The 20nm test chip requires double patterning and was implemented with each EDA partner contributing a large placed and routed design. Prior to tape out, each design was thoroughly validated by Globalfoundries and checked against 20nm sign-off verification decks. Early and extensive 20nm collaboration with each EDA partner resulted in all designs being closed rapidly for a successful tapeout.
 
In addition to demonstrating full support for all of the key steps in a 20nm P&R flow, including double patterning library preparation, placement, clock tree synthesis, hold fixing, routing and post route optimization, Globalfoundries worked with each of the EDA suppliers to include the necessary setup and support for technology and mapping files. The flow will also demonstrate foundry support for extraction, static timing analysis and physical verification. Globalfoundries will make the design, libraries, and complete vendor flow scripts available to customers who wish to evaluate 20nm technology.
 
http://www.xbitlabs.com/news/other [...] _Test.html

n°8026752
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 30-08-2011 à 14:16:20  profilanswer
 

De la ram 2 fois plus performante ? non... 5 fois ? non... 10 fois ?! non !!! 20 fois !
 
Micron has presented its latest progress in the development of RAM products and its Hybrid Memory Cube technology promises up to 20 faster memory modules than current DDR3 products. The HMC technology was presented at Hot Chips last week and Micron showed a sample circuit with capacity to reach speeds up to 128 gigabyte per second.
 
Micron's HMC modules have already reached speeds 10 times higher than current DDR3 memory modules where DDR3-1600 is capable of data speeds up to 12.8 gigabyte per second.
 
When Micron is ready with retail products is another story, but the company claims to be able to offer 20 times higher bandwidth as DDR3 and do it with only 10% of the energy needed to power modern modules.
 
Hybrid Memory Cube stacks and binds together several layers of memory chips in a three dimensional memory circuit. To make the data paths as efficient as possible between the stacked chips it uses TSV connections (Through-silicon via) that lets signals pass right through the silicon. Through its 3D construction Micron claims that the technology will require 90% less space than traditional RDIMM modules.
 
The high number of TSV connections and the relatively short distances will be the key to the high data speeds, which could remedy the memory bottle necks we have today. Clear examples of this is the new Fusion APUs from AMD where several integrated CPU cores and powerful graphics circuits will have to share a very limited memory bandwidth.
 
Micron says that the HMC technology will break through the "memory wall" where it talks about how the developmentin this area most often result in just marginal improvements, unlike CPU and GPU markets where the coimputing power continues to improve at a much higher speed.
The question is when we will see the HMC technology in products, but there are not real hints of when this might happen. http://www.nordichardware.com/news [...] ology.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°8027444
gliterr
Posté le 31-08-2011 à 09:52:53  profilanswer
 

http://www.brightsideofnews.com/ne [...] o-soi.aspx
 
Update August 30, 2011, 9:36PM Pacific - We have received word from GlobalFoundries and SOITEC that the statements made today during press luncheon ended up sounding different than what was meant. As we expected, GlobalFoundries remain comitted to SOI (as we all expect) for the process nodes in which SOI makes sense, i.e. high performance silicon nodes, such as 22nm, 14nm, 10nm and beyond.
 
Original Article
At the GlobalTechnology Conference 2011, GlobalFoundries officially presented the 20nm silicon manufacturing process which will debut in two flavors during 2013 and 2014.
 
Greg Bartlet, GlobalFoundries
Gregg Bartlett, GlobalFoundries
 
Senior executive Gregg Bartlett hosted a session in which he demonstrated GlobalFoundries commitment First and foremost, the company reiterated its roadmap on the current state of 28nm and explained the next step - the 20nm process.
 
First and foremost, there will be two versions of 20nm process node, which is the clean full node shrink from the 28nm. The biggest change between 32/28nm and the upcoming 20nm node will be the switch from Gate First HKMG (GlobalFoundries unique approach) to Gate Last HKMG (as used by Intel and the competitors), as the company analyzed both approaches and came to a conclusion that the 10-20% die shrink reduction between the two will no longer be the key selling point.
 
The 20nm process is a single technology platform, bringing both 20nm-LPM (Low-Power Manufacturing) and 20nm-SHP (Super High Performance) under the same 0.9V umbrella with the support for OD (Overdrive).
According to Greg, 20nm SHP brings twice the gate density, 25% speed bump and 30% in power savings over the 28nm process, which brought in a lot of improvements when compared to the 32nm and 40nm processes.
 
When it comes to low-power manufacturing, 20nm-LPM brings a lot of improvements over the upcoming 28nm-ULP process, including a 35% increase in speed and up to 40% in power savings. The company plans to launch the initial 20nm sample production ready by fourth quarter 2012, with the process rollout throughout 2013.
 
The key part of the announcement will be the introduction of Extreme Ultra Violet (EUV) production in preparation to move from CMOS transistor technology. According to GlobalFoundries, EUV will debut as a prototype node at the second half of 20nm process, not expected before 2014-2015 timeframe.
 
A very important part is also the decision not to support SOI on the 20nm process, as it is a full node shrink from 28nm bulk. Ajit Manocha, interim CEO to GlobalFoundries went on to state: "The decision not to go with SOI was made from pure business standpoint. We're a business, not emotional."
 
However, GlobalFoundries will continue to extensively utilize SOI on the 32nm node, as well as on the upcoming 22nm and 14nm nodes, when an even more advanced generation of SOI will make its debut. SOI is more tied to AMD and the newly engaged SOI customers, while the 28nm and 20nm customers all utilize bulk silicon.

n°8035469
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 07-09-2011 à 13:36:37  profilanswer
 

AMD Not Leaving SOI for 28nm 10-Core Macau and 20-Core Dublin CPUs?

http://www.brightsideofnews.com/Data/2011_9_6/AMD-Not-Leaving-SOI-for-28nm-10-Core-Macau-and-20-Core-Dublin-CPUs/GlobalFoundries_28nm_20nm.jpg
 
http://www.brightsideofnews.com/Data/2011_9_6/AMD-Not-Leaving-SOI-for-28nm-10-Core-Macau-and-20-Core-Dublin-CPUs/AMD_Opteron_Dublin_Macau.jpg
 
http://www.brightsideofnews.com/Data/2011_9_6/AMD-Not-Leaving-SOI-for-28nm-10-Core-Macau-and-20-Core-Dublin-CPUs/GlobalFoundries_14nm.jpg
 
source ; http://www.brightsideofnews.com/ne [...] -cpus.aspx


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR