Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
3129 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°7737481
pop_pop
System.NoBeerException
Posté le 06-01-2011 à 19:17:41  profilanswer
 

Reprise du message précédent :

super_newbie_pro a écrit :

CES 2011 : TV 4K 3D sans lunettes, Sony frappe fort

Citation :

Sony marque les esprits avec un écran très haute-définition capable de rendre parfaitement la 3D sans lunettes avec un recul de 2 à 3 mètres.
 
Sony CES 2011 (2)On n'arrête pas le progrès... C'est par cette phrase que Sony aurait dû commencer sa conférence d'ouverture du CES 2011 de Las Vegas où a été introduit le futur des téléviseurs.
 
Accrochez-vous bien, Sony exhibe un téléviseur d'une diagonale de 56" en très haute-définition (4K) capable de rendre une image en 3D sans qu'aucune paire de lunettes ne soit nécessaire. Et de l'avis de tous, le confort de visionnage offert par cet écran est parfait, tout aussi bon que sur les meilleurs systèmes à lunettes actives.
 
Voici donc clairement l'écran de ce CES 2011, celui qui préfigure ce que seront les téléviseurs de demain. Et alors que l'on pensait qu'après la démocratisation de la HD il faudrait attendre de longues années avant de connaître une nouvelle ère, il semblerait que la transition puisse être plus rapide que prévu. Alors certes, ce n'est pas tout de suite que ces écrans seront accessibles au commun des mortels et les modèles grand-public de ce CES 2011 sont plutôt les TV connectées - sur lesquelles insistent les constructeurs, puisque les chiffres de vente des écrans 3D avec lunettes ne décollent pas -, mais il est intéressant de voir que les technologies de demain préparent leur arrivée.
4K et 3D sans lunettes, la TV de demain
 
C'est donc clairement Sony qui a le mieux réussi à se projeter dans l'avenir, et si LG montre lui aussi un prototype de téléviseur 3D sans lunettes offrant un rendu d'une qualité impressionnante, et que Toshiba s'y essaye aussi avec un prototype de 40", Sony fait carrément plus fort avec sa dalle qui surpasse en tous points les autres.
 
Quant au 4K, il semble quoi qu'il arrive voué à se démocratiser dans les années à venir. Inutile sur les petites diagonales, la très haute-définition prend tout son sens sur les téléviseurs les plus grands. Et si l'on pourra toujours pester contre les constructeurs qui semblent vouloir nous faire changer de TV tous les deux ans (HD, Full HD, TNT, TNT HD, 3D... et maintenant 4K), mieux vaut rester philosophe car, non, à l'évidence, rien n'arrêtera le progrès.

source ; http://www.pcworld.fr/2011/01/06/h [...] ny/510253/


 
Une idée de la technologie utilisée pour la 3D sur la dalle sony ?

mood
Publicité
Posté le 06-01-2011 à 19:17:41  profilanswer
 

n°7738049
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 07-01-2011 à 01:44:13  profilanswer
 

Aucune idée. A fouiner sur les forums des constructeurs à la rigueur.
 
Samsung Develops World's First DDR4 Memory Module.
 

Citation :

Samsung Plans to Finalize DDR4 Specification in 2011
[01/04/2011 09:55 PM]
by Anton Shilov
 
Samsung Electronics, the largest producer of dynamic random access memory (DRAM), on Tuesday said that it completed development of the industry's first DDR4 memory module last month, using 30nm-class process technology.
 
The new DDR4 DRAM module can achieve data transfer rates of 2133Gb/s at 1.2V, compared to 1.35V and 1.5V DDR3 DRAM at an equivalent 30nm-class process technology, with speeds of up to 1.6Gb/s. When applied to a notebook, it reduces power consumption by 40% compared to a 1.5VDDR3 module.
 
The module makes use of pseudo open drain (POD), a new technology that has been adapted to high-performance graphic DRAM to allow DDR4 DRAM to consume just half the electric current of DDR3 when reading and writing data. By employing new circuit architecture, Samsung's DDR4 will be able to run from 1.60GHz up to 3.20GHz compared to today's typical speeds of 1.6GHz for DDR3 and 800MHz for DDR2.
 
Late last month, Samsung provided 1.2V 2GB DDR4 unbuffered dual in-line memory modules (UDIMM) to a controller maker for testing.
 
"Samsung has been actively supporting the IT industry with our green memory initiative bycoming up with eco-friendly, innovative memory products providing higher performance and power efficiency every year. The new DDR4 DRAM will build even greater confidence in our cutting-edgegreenmemory, particularly when we introduce 4Gb DDR4-based products using next generation process technology for mainstream application," said Dong Soo Jun, president of memory division at Samsung Electronics.
 
Samsung now plans to work closely with a number of server makers to help insure completion of JEDEC standardization of DDR4 technologies in the second half of this year.

source ; http://www.xbitlabs.com/news/memor [...] odule.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7742639
Zack38
Posté le 09-01-2011 à 14:47:44  profilanswer
 

Les premiers prototypes de barrettes DDR4 atteignent déjà 2133MHz pour 1.2V seulement. Excellent ^^

n°7750170
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 13-01-2011 à 09:04:29  profilanswer
 

IBM et Samsung contre Intel pour le 20 nm

Citation :

IBM et Samsung ont annoncé qu’ils allaient travailler ensemble sur de nouveaux semiconducteurs et les processus de fabrication nécessaires pour graver en 20 nm et moins.
De la nécessité de collaborer
 
Les investissements toujours plus importants, la pression technologique d’Intel et le fait que les marchés tentent encore de suivre la conjecture de Moore obligent les fondeurs à travailler ensemble. Ce partenariat n’est pas surprenant, Samsung appartenant au fab club depuis longtemps. Néanmoins, pour la première fois, les chercheurs coréens rejoindront ceux d’IBM dans les laboratoires new-yorkais de ce dernier.
Une étape importante pour Samsung
 
Les travaux devraient se concentrer sur la structure des transistors, les interconnexions, le packaging et les matériaux permettant de répondre aux défis physiques liés à cette nouvelle finesse de gravure. Ce partenariat pourrait aussi signifier que Samsung va finalement utiliser des couches isolantes de forte permittivité électrique (high-k), à l’instar d’Intel et AMD, ce dernier ayant commencé avec ses premières puces Fusion.
 
Nous sommes aussi en droit de nous demander comment les deux sociétés résoudront leur différend portant sur l’utilisation de la technologie gate-first ou gate-last (voir ici http://www.presence-pc.com/actualite/gate-last-41563/ )

source ; http://www.presence-pc.com/actualite/20-nm-42136/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7759295
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 18-01-2011 à 12:54:00  profilanswer
 

INTEL 22nm ; 9 milliards, 4 usines, production pour la fin de l'année

Citation :

La transition vers le 22 nm devrait coûter 9 milliards de dollars à Intel qui dépendera alors de quatre usines au lieu de trois actuellement.
 
En effet, lorsque le fondeur commercialise une nouvelle finesse de gravure, il a tendance à compter sur trois usines pour assurer la production des nouvelles puces. Ses motifs pour passer à une quatrième sont simples. L’augmentation de la complexité des processus de fabrication à chaque nouvelle étape technologique oblige Intel à mettre ses usines à jour plus rapidement afin de maximiser les profits possibles grâce à la miniaturisation des transistors.
 
Intel espère aussi pénétrer le marché des terminaux mobiles et le 22 nm pourrait être la clé qui lui ouvre de nouvelles portes. Il serait donc nécessaire de disposer de quatre usines modernes au lieu de trois pour assurer la hausse de la demande liée à la diversification de son catalogue. La production des premières puces commercialisables et gravées en 22 nm devrait commercer d’ici la fin de l’année.

source ; http://www.presence-pc.com/actualite/22-nm-42198/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7760811
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 19-01-2011 à 11:25:06  profilanswer
 

Intel déploiera sa nouvelle finesse de gravure 22nm sur la quasi-totalité de sa gamme de puces en 2012...

Citation :


 
Logo IntelIntel avait dévoilé son premier microprocesseur gravé à une finesse de gravure de 32 nanomètres en février 2009. La production de masse avait commencé en septembre 2009, et le premier lancement commercial eut lieu en janvier 2010. Si ce déploiement fut particulièrement réussi, on notera cependant qu'il manque encore une déclinaison du 32 nm qui manque à l'appel : celle destinée aux puces à basse consommation.
 
Le retard des Atom « Medfield » qui exploitent ce processus de gravure spécial est préjudiciable à Intel, qui ne peut guère lutter contre ARM sur le segment en pleine expansion des solutions mobiles. La transition vers le 22 nanomètres marquera cependant un changement de taille pour le fondeur, puisque la quasi-totalité de ses gammes de puces passera en très peu de temps à cette nouvelle finesse de gravure ! Si les processeurs « Ivy Bridge » (évolutions des récemment lancés « Sandy Bridge ») seront bien les premiers à être gravés à 22 nm, les Xeon et Atom suivront rapidement.
 
Intel reconnait donc l'évolution de l'industrie, et investit une somme considérable dans ce changement de tactique. Alors qu'habituellement ce sont trois usines qui sont mises à jour à chaque nouvelle finesse, le 22 nm sera déployé sur quatre usines dès le départ ! Coût total pour le géant de Santa Clara : 9 milliards de dollars ! 2,7 milliards de dollars seront également investis dans l'usine israélienne du géant de Santa Clara, qui pourrait produire ses premières puces à 22 nm dès le mois de décembre. Intel doit produire en masse ses premiers CPU à 22 nm dès le quatrième trimestre 2011, même si le lancement officiel des premiers Ivy Bridge n'aura probablement pas lieu avant 2012.

source ; http://www.pcworld.fr/2011/01/19/m [...] nm/510759/
 
****************
 

Citation :

With the transition to 22nm process technology that will start later this year Intel Corp. will also move to a new manufacturing model that will rely on four leading-edge fabs instead of three. The plan will cost Intel $9 billion this year, but Intel claims that with 22nm manufacturing process it will be able to enter a number of new markets.
 
"In support of expected strong unit growth in our core businesses and the movement of graphics transistors to our leading edge process technology, we are forecasting an increase in capital spending to $9 billion as we build and equip an incremental high volume manufacturing factory at 22nm," said Stacy Smith, chief financial officer of Intel, during the most recent quarterly conference call with financial analysts.
 
At present Intel expects to rapidly transit its PC and server processors as well as various chips for low-power and mobile applications to 22nm fabrication process. Since previously the company transited its Atom and low-cost products to newer nodes around a year after premium chips, but with the 22nm the latest fabrication process will be applied for the whole product stack.
 
"As we approach our 22nm transition, we are increasing our investments in manufacturing to capture what we believe is a significant opportunity for growth. [...] The market opportunities for our 22nm products are outstanding. As a result, we are growing from the model of three high volume leading-edge manufacturing fabs to four. Our 22nm process will be the foundation for growing PC and server segments, as well as a broad family of Atom-based SoCs, serving smartphones, tablets, smart TVs, and other embedded devices," said Paul Otellini, chief executive officer of Intel.
 
In fact, mobile devices seem to have a very high priority for the world's largest maker of microprocessors.
 
"We are building some on 32nm now and then the initial products on 22nm will be the mainstream microprocessors, because we want to use every early wafer we can for those products, but we will move as rapidly to 22nm as possible for the non-PC part of the product line," explained the head of Intel.
 
While it is very likely that Intel will start making commercial chips using 22nm fabrication process already in Q4 2011, the company itself does not want to make any official promises concerning mass production or revenue shipments just now.
 
"We have finished development of the process. We are in yield learning deployment right now, running test ships in there, ramping the yields up on the technology. We have completed the design of our first microprocessor and have working microprocessors on that technology. At this point in time our plan is to ramp production wafers of that technology in the second half of this year with products launched at some point to follow," said Mr. Otellini.
 
Among the most anticipated 22nm products from Intel are code-named Ivy Bridge microprocessors for desktops, laptops and servers as well as Knights Corner accelerator based on MIC [many Intel core] architecture. Intel has already confirmed that it does have working samples of 22nm chips.

source ; http://www.xbitlabs.com/news/other [...] ocess.html


Message édité par super_newbie_pro le 19-01-2011 à 12:10:25

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7770011
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 25-01-2011 à 12:37:23  profilanswer
 

What comes after 11nm?
Common Platform 2011: IBM talks future tech

Citation :

THE FINAL KEYNOTE of the Common Platform conference was given by IBM's Garry Patton, and he talked collaboration and tech. The list of new things thrown out was pretty dizzying, but what do you expect from someone who is in charge of semiconductor R&D?
 
The most interesting part of the whole discussion was how the collaboration chain was expanding because of increased technical complexity. In the past, the fabrication processes used to be worked on, and late in the game, the tool vendors were brought in to write the software and simulations that were needed for design.
 
From that point, the tools were given to the end users, and they designed their chips with them. It was all bundled up in the end and shipped off to the fab of choice. That whole process doesn't really work anymore.
 
For the last node or three, tool vendors have been playing an increasingly important role in making the whole process work. They are being brought in sooner and sooner in the development process, and the tools are somewhat co-developed with the chemistry. The two are inseparable now, but the whole thing was still given to customers as a package when done.
 
Unfortunately, the whole chain is now so complex, and the designs that use them are similarly complex, that foundries are needing design partner input in the tools. This may sound like a good idea, but there is a lot to manage, especially if you have to bring in everyone that can potentially make a chip with a process before the process is fully baked. Herding cats is comparatively easy.
 
No matter who you bring in, and how you bring them in, the whole idea of collaborative R&D and collaborative design enablement is here to stay. Things are only going to get worse from here on out, and the only way to mitigate some of it is to bring all the players together early. Once again, group hug time.
 
From there, things went back to tech, and the group hugging was finished for the keynote sessions. It started out on a down note, that gate scaling was effectively dead. This is widely known, not a shock to anyone following the industry, but it is still a fairly hard roadblock. While you won't get much, if any, smaller from here on out, gate innovation is far from dead.
 
With that stake planted in the sand, there was lots of new stuff to talk about, starting with a roadmap for the upcoming nodes. The Common Platform guys are still saying that they are on a 2 year shrink cycle, with 20nm coming in 2012, 14nm in 2014, and 11nm in 2016. At least to the 14nm node, maybe 11nm, the roadmaps seem fairly firm.
 
According to slides shown by Mr Patton, the big step for lithography on 32/28nm is 'second generation immersion'. 20nm brings Source Mask Optimization(SMO) and 3rd gen immersion to the fray, upping to 4th gen at 14nm. In addition to SMO, 14nm also uses double patterning.
 
EUV is a hot topic among fab people now, and the Common Platform partners seem to think that it is possible for some 14nm layers, but not definite. I think it is more a question of tools availability, materials availability, and cost of running them more than anything else. Even 11nm is listed as "EUV (or DPL)" (double patterning).
 
What is Source Mask Optimization (SMO)? That is probably the most interesting bit. SMO is a fancy way of saying changing the (light) source to work around limits of the mask. Masks currently are using diffractive optical elements (DOE) to make patterns smaller than the wavelengths used to draw them, but that can't work forever.
 
The key to SMO is a pixelated light source, something that gets interesting when you are talking about EUV lasers. If you can change the source on the fly, you can add a whole bag of tricks to mask creation. The closest SemiAccurate got to an explanation was a projection TV micromirror array to turn pixels on and off. Currently, ASML and Zeiss are working on one, but not at the EUV level. This should be very fun to watch as it develops.
 
Moving on to the transistors themselves, the roadmap went out a bit farther. 22nm was listed as using PDSOI and bulk silicon, basically the same choices as we have now. At 14nm, Common Platform will use FinFETs, and 11nm may add ETSOI (Extremely Thin SOI) to the mix. This is likely still in a bit of flux though, we are talking about 2018 for a possible introduction.
 
On the 8nm, 5nm and 3nm nodes, things get a little more theoretical. OK, a lot more theoretical. 8 and 5nm are listed as using silicon nanowires plus a fully depleted SOI substrate, and 3nm moves on to some kind of carbon, be it nanotubes or otherwise. Given the timelines involved, anything could be a contender below 11nm.
 
Getting back to the optimistic side, Mr Patton did state that they have working FinFETs in the labs now at 14nm, and they have working carbon ring oscillators at sub-14nm geometries as well. As was said earlier, making one is easy, a few billion or trillion is a completely different problem. That brings us to the last topic of the day, gate first vs gate last. The Common Platform vendors are going to gate last at 20nm, that much is certain.
 
Why are they doing it? Officially, the pros of gate last outweighed the cons, and the partners had the requisite group hug and decided that it was the right thing to do together. Inside sources tell SemiAccurate that there was no hugging involved, more knives and blood, but the end result is gate last. If you look at some of the yield headaches Llano was having, you might just come to the conclusion that some partners did not want to repeat that at 20nm.
 
Officially, there were four factors involved with the decision, density, scaling from the previous node, process complexity, and power/performance. Gate first is much denser, a claimed 10-20% more transistors per unit area. That of course is balanced out by lower yield of the gate first process, but depending on a lot of variables, the end result, cost, could go either way.
 
Scaling from the previous node is clearly on the gate first side. Gate last needs radically different design rules, so first is much simpler to work with. The flip side of that is once you go to gate last design rules, and if you stay there, the next node has an easier path to gate last too. From 32/28nm though, gate first at 20nm is the way to go if this is the only consideration.
 
Process complexity is a clear win for gate first too. You put the gate in and you have to protect it for some of the later steps, but that is not the end of the world. Gate last makes you put in a dummy gate, do the rest of the chip, etch the dummy out, and then put in a new gate. This isn't exactly trivial, but as Intel has shown, it is quite doable. Gate first is much simpler, but Intel and TSMC seem to think the increased yield from gate last is worth the pain.
 
The last consideration is power/performance. IBM said at a later Q&A session that gate first has a 6% power/performance advantage over gate last. Gate first also needs less strain engineering, but gate last seems to benefit more from it. This ends up as a win for gate last.
 
In the end, it is impossible to pick a winner without hard data. On the 32/28nm node, the Common Platform companies went with gate first, Intel and TSMC are using gate last. Neither side is doing it on a whim, so there are obviously benefits to both methods. On 22/20nm, everyone is going with gate last, so the question is unequivocally answered. Group hug time again?
 
Where does that leave us? Group hugs are not a bad analogy as it turns out. Everyone involved in the chip making process, from the tool vendors and the materials vendors to the chip designer needs to get involved earlier. Each new node brings more and more complexity to the game, so everyone will eventually be brought in to the process, pun intended.
 
The Common Platform group has laid out a roadmap to 14nm that is pretty solid, and shown tidbits of things after that. Will they all work? What will end up in use, and what will end up panning out? Who knows, but it looks like scaling will be with us for some time to come.

source ; http://www.semiaccurate.com/2011/0 [...] fter-11nm/
 
***********
 
IBM, Global Foundries Moving to Gate-First Technology for 20nm ==> http://www.brightsideofnews.com/ne [...] -20nm.aspx
 
http://www.brightsideofnews.com/Data/2011_1_24/Global-Foundries-Moving-to-Gate-First/Wafer%202.jpg
 
http://www.brightsideofnews.com/Data/2011_1_24/Global-Foundries-Moving-to-Gate-First/20nm%20timeline_853w.jpg
 
***********
 
Le graphène ne remplacera pas le silicium (IBM)

Citation :

IBM est à la pointe de la recherche en électronique et a fait l'éloge à plusieurs reprises des transistors en graphène. Big Blue tempère aujourd'hui son propre enthousiasme en estimant que le graphène ne pourra pas remplacer totalement le silicium dans nos puces.
 
Le problème, tel que souligné par Yu-Ming Lin employé de IBM Research - Nanometer Scale Science and Technology, est que les transistors en graphène ont un faible ratio On/Off. Le ratio On/Off est le rapport entre le courant traversant le transistor lorsqu'il est en position On et lorsqu'il est en position Off. Si ce rapport est trop faible, il devient difficile de savoir si le transistor est en état On ou Off.
 
Les transistors en graphène conservent néanmoins de très grands avantages : ils peuvent être théoriquement beaucoup mieux miniaturisés, et atteignent des fréquences de fonctionnement bien supérieures à taille identique que leurs homologues en silicium. Yu-Ming Lin estime donc qu'ils pourront être complémentaires du silicium à l'avenir, dans des circuits ne nécessitant pas un ratio on/off très élevé.

source ; http://www.presence-pc.com/actuali [...] M_comments


Message édité par super_newbie_pro le 25-01-2011 à 13:38:51

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7782246
Swiss_Knig​ht
600 MeV since 1957.
Posté le 01-02-2011 à 23:06:22  profilanswer
 

http://www.presence-pc.com/actuali [...] ene-42371/
 

Citation :

Pour faire simple, un feuillet bidimensionnel de molybdénite de 0,65 nanomètre est un excellent semi-conducteur, alors que dans les mêmes conditions, le silicium se limite à 2 nanomètres.


 
http://www.presence-pc.com/actuali [...] ene-42371/


---------------
Hergestellt in der Schweiz.
n°7782911
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 02-02-2011 à 13:25:28  profilanswer
 

Rambus : une RAM 8x plus rapide que la DDR3
Le retour de Rambus ? Le "vrai" cette fois ? Le bon coup ? La bonne ?  :D  

Citation :

Rambus ne fait pas que des procès à ses concurrents pour violation de brevets, la société investit aussi beaucoup d'efforts en R&D. Dernièrement, elle a annoncée une nouvelle technologie de mémoire vive promettant des débits de 20 Gbps, là où la DDR3 culmine à 2,4 Gbps et la GDDR5 à 7 Gbps.
 
Cette nouvelle interface mémoire serait en outre rétrocompatible avec la DDR3 et la GDDR5 ce qui garantit une évolution en douceur pour tous les fabricants qui la choisiraient. Rambus met également en avant une grande efficacité énergétique puisque sa mémoire ne consomme que 6 mW par Gbps avec des composants gravés en 40 nm.

source ; http://www.presence-pc.com/actuali [...] bps-42363/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7783079
Zack38
Posté le 02-02-2011 à 15:36:18  profilanswer
 

Citation :

Rambus ne fait pas que des procès à ses concurrents pour violation de brevets, la société investit aussi beaucoup d'efforts en R&D.


 
Encore heureux ! Comment ferait Rambus pour coller des procès s'il ne déposait pas régulièrement de nouveaux brevets ?
 

Citation :

Cette nouvelle interface mémoire serait en outre rétrocompatible avec la DDR3 et la GDDR5 ce qui garantit une évolution en douceur pour tous les fabricants qui la choisiraient. [...]


 
Une bonne nouvelle... sauf que la DDR3 va bientôt être remplacée par la DDR4, et qu'il n'y aura plus de problème de débit avant un certain nombre d'années. Dommage. :D
 
De plus, si la news ne mentionne pas le prix au Gb, c'est qu'il doit être exagérément cher... ce qui n'aurait cela dit rien d'une surprise, puisqu'il est question de Rambus.

mood
Publicité
Posté le 02-02-2011 à 15:36:18  profilanswer
 

n°7783125
Swiss_Knig​ht
600 MeV since 1957.
Posté le 02-02-2011 à 16:06:58  profilanswer
 

DDR4 "bientôt" ... :/ molo hein. On vient d'entrer dans 2011.


---------------
Hergestellt in der Schweiz.
n°7783130
Zack38
Posté le 02-02-2011 à 16:09:32  profilanswer
 

Ben, la DDR4 est prévue pour l'année prochaine, il me semble. A moins que ce ne soit prévu que pour 2013. :spamafote:

n°7783143
Swiss_Knig​ht
600 MeV since 1957.
Posté le 02-02-2011 à 16:17:55  profilanswer
 

Oui, 2012, mais je pense plutôt que ce sera en fin d'année.
Et "démocratisation en 2015"


Message édité par Swiss_Knight le 02-02-2011 à 16:18:38

---------------
Hergestellt in der Schweiz.
n°7785333
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 04-02-2011 à 00:15:31  profilanswer
 

Intel to fund silicon photonics centre
http://images.bit-tech.net/news_images/2011/02/intel-fund-silicon-photonics-centre/article_img.jpg

Citation :

Intel is co-funding a research and production centre at the University of Washington, which it hopes will lead to breakthroughs in the field of silicon photonics.
 
The deal, which was reported this week in Chip Design Magazine, will see Intel dip into its recent monster profits to fund the foundation of the Optoelectronics Systems Integration in Silicon (OpSIS) Centre at the University, designed as the silicon photonics equivalent of the Metal Oxide Semiconductor Implementation Service Centre at the University of Southern California.
 
Rather than relying on electrical connections between components, photonics instead transfers data over optical connections. Intel has already dabbled with high-speed optical data connections with its Light Peak technology, but it's hoped that photonics could enable optical connections inside mass-produced chips as well.
 
Michael Hochberg, assistant professor of electrical engineering at the University, stated that the University would 'like the photonics industry, 10 years from now, to function in a way that’s very similar to the electronics industry today. People building optoelectronic systems will send designs out to an inexpensive, reliable third party for manufacturing, so they can focus on being creative about the design.'
 
Intel's chief technology officer Justin Rattner was also confident about the success of the project, claiming 'OpSIS will enhance the education of US engineering students, giving them the opportunity to learn the new optical design paradigm. The ability to produce such low-cost silicon chips that manipulate photons, instead of electrons, will lead to new inventions and new industries beyond just data communications, including low-cost sensors, new biomedical devices and ultra-fast signal processors.'
 
Intel is far from the only company investing in optical computing and silicon photonics, though. Back in 2007, IBM announced the creation of a micro-miniaturised Mach-Zenhder electro-optic modulator, which it hoped would lead to a revolution in optical computing technology.
 
Once up to speed, the OpSIS Centre looks to offer three production runs per year, each capable of making chips for 30-40 users. Meanwhile, the physical production will be left in the hands of BAE Systems.
 
Are you pleased to see Intel looking into the potential of silicon photonics, or should the company be spending its money elsewhere? Share your thoughts over in the forums.

source ; http://www.bit-tech.net/news/hardw [...] s-centre/1


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7796824
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-02-2011 à 12:37:04  profilanswer
 

Researchers boast first programmable 'nanoprocessor'
 
http://cdn.i.haymarket.net.au/Utils/ImageResizer.ashx?n=http%3a%2f%2fbackoffice.ajb.com.au%2fimages%2fnews%2fharvardnanoprocessor.jpg&w=158
 

Citation :

US researchers have successfully assembled a tiny processor from nanometre-scale components to perform basic arithmetic and logical functions.
 
Harvard University's Charles M. Lieber and his team built 960μm2 (square micrometre) 'logic tiles' that could perform adder, subtractor, multiplexer, demultiplexer and clocked D-latch functions.
 
The tiles each contained 496 programmable transistors built from ten-nanometre-thick germanium wires, and were touted as a "world first" in complexity and function.
 
In a research paper published in Nature today, the researchers described designing, constructing and using the programmable tiles.
 
"For the past 10 to 15 years, researchers working with nanowires, carbon nanotubes, and other nanostructures have struggled to build all but the most basic circuits, in large part due to variations in properties of individual nanostructures," stated Lieber, who collaborated with the MITRE Corporation on the project.
 
"This work represents a quantum jump forward in the complexity and function of circuits built from the bottom up.
 
"[It] thus demonstrates that this bottom-up paradigm, which is distinct from the way commercial circuits are built today, can yield nanoprocessors and other integrated systems of the future."
 
Since each tile had a maximal voltage gain of ten and could match input-outage voltage levels, they could be arranged into linear or tree-like interconnected arrays, the researchers wrote.
 
In the near term, the technology could yield simpler, low-power, application-specific 'nanocontrollers' for use in tiny embedded systems and biomedical devices, they suggested.
 
Lieber acknowledged in Nature News that the chip would struggle to compete with conventional electronics, which crammed far more transistors onto a single chip.
 
Each of Harvard's nanotransistors occupied 1.9μm2 - more than twenty times the 0.09μm2 area required by logic gates in current 32nm CMOS chips, the researchers wrote (pdf).
 
The Intel Sandy Bridge, which launched in Australia last month, fit each of its 995 million transistors into a 0.217μm2 area, with a total area of 216mm2 (square millimetres).
 
Lieber and his team said their chip could be further improved to be up to 100 times more efficient than current electronics, and require only 0.0017μm2 per transistor.

source ; http://www.itnews.com.au/News/2476 [...] essor.aspx


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7800468
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 17-02-2011 à 11:36:48  profilanswer
 

New discovery paves the way towards Graphene based nonvolatile memory
Data storage: Carbon-ferroelectric memory  
 
http://www.graphene-info.com/files/graphene/images/improved-graphene-FET.jpg
Improved graphene–ferroelectric FET with SiO2 basal layer illustration
 

Citation :

(Nanowerk News) A fundamental component of a field-effect transistor (FET) is the gate dielectric, which determines the number of charge carriers—electrons or electron vacancies—that can be injected into the active channel of the device. Graphene has recently become the focus of attention as a viable, high-performance replacement for silicon in FETs, and in recent studies on graphene-based FETs, scientists have investigated the use of thin films of a ferroelectric material for the gate dielectric. Such films offer several interesting advantages for use in graphene-based FETs: their strong electrical polarization makes it possible to introduce a much higher density of carriers than can be achieved using standard dielectrics, and they have remnant electric polarization—a property that could allow graphene–ferroelectric FETs to be used for nonvolatile memory by storing a certain level of carrier density in the absence of an electrical field.
 
Two collaborating teams from the A*STAR Institute of Materials Research and Engineering and the National University of Singapore, led by Kui Yao and Barbaros Özylmaz, respectively, previously demonstrated a basic graphene–ferroelectric memory device in which the polarization in the ferroelectric film was controlled by the electrical bias applied to the gate terminal. In that structure, a thin ferroelectric film was deposited on top of a graphene layer, where it injects charge carriers and thus modulates the resistance of the graphene. Unfortunately, however, the two distinct resistance states that could be read as an information bit could only be realized by polarizing and depolarizing the ferroelectric film, which presented problems due to the instability of the depolarization state.
 
Now, the two teams have collaborated to fabricate an improved device ("Graphene Field-Effect Transistors with Ferroelectric Gating" ) that includes an additional silicon dioxide (SiO2) dielectric gate below the graphene layer (see image). The SiO2 gate, a long-standing component in traditional FETs, effectively provides a reference point from which to measure the effect of ferroelectric gating. By monitoring the resistance of the device as a function of the voltages applied to the top and bottom gates, the researchers developed a quantitative understanding of the performance and switching behavior of graphene–ferroelectric FETs. For use as a nonvolatile memory device, the SiO2 dielectric gate also simplifies bit writing by providing an additional background source of charge carriers, allowing the ferroelectric polarization to be switched between two stable states corresponding to two opposite polarization orientations.
The new device developed by the research team achieved impressive practical results, capable of symmetrical bit writing with a resistance ratio between the two resistance states of over 500% and reproducible nonvolatile switching over 100,000 cycles.


source ; http://www.nanowerk.com/news/newsid=20157.php
 
 
********************
 
One-atom-thick sheets could change computing  :ouch:  :ouch:  
http://images.bit-tech.net/news_images/2011/02/single-atom-sheets-breakthrough/article_img.jpg

Citation :

Scientists claim that a new method for creating materials in sheets that are just one-atom-thick could lead to breakthroughs in data and energy storage technologies, meaning bigger storage devices and longer-lasting batteries.
 
According to coverage of the discovery over on Reuters, quoting a report published in journal Science, the technique makes it significantly easier to create one-atom-thick sheets of different materials, including graphene sheets made from carbon.
 
Work has been done in the past on creating graphene, but the material - which is just one-atom-thick and around a hundred times stronger than steel - remains expensive and difficult to produce; something the researchers believe they may have solved.
 
The new method is claimed to be low-cost, while also resulting in extremely high yields of usable materials, but isn't just limited to producing sheets from carbon. Instead, the researchers claim they can use the technology to create single-atom sheets from a variety of elements, drastically changing their electrical and thermoelectric properties and potentially unlocking useful new materials.
 
While graphene in its current state may not completely replace silicon the semiconductor industry, according to IBM, this latest work could help find the materials and technologies that mean faster, longer-lasting electronic circuits in the future.
 
Are you pleased to see researchers coming up with potential new materials in this way, or will you only get excited when the technology gets commercialised? Share your thoughts over in the forums.

source ; http://www.bit-tech.net/news/hardw [...] kthrough/1
 
*************
 
et une new qui date de quelques mois mais j'étais passé à côté :
 
Bonn physicists create a 'super-photon'
Completely new source of light for many applications (youhou youpi pour les futures finesses de gravure)
     
   
http://www.eurekalert.org/multimedia/pub/web/27468_web.jpg
     

Citation :

Physicists from the University of Bonn have developed a completely new source of light, a so-called Bose-Einstein condensate consisting of photons. Until recently, expert had thought this impossible. This method may potentially be suitable for designing novel light sources resembling lasers that work in the x-ray range. Among other applications, they might allow building more powerful computer chips. The scientists are reporting on their discovery in the upcoming issue of the journal Nature.
 
By cooling Rubidium atoms deeply and concentrating a sufficient number of them in a compact space, they suddenly become indistinguishable. They behave like a single huge "super particle." Physicists call this a Bose-Einstein condensate.
 
For "light particles," or photons, this should also work. Unfortunately, this idea faces a fundamental problem. When photons are "cooled down," they disappear. Until a few months ago, it seemed impossible to cool light while concentrating it at the same time. The Bonn physicists Jan Klärs, Julian Schmitt, Dr. Frank Vewinger, and Professor Dr. Martin Weitz have, however, succeeded in doing this – a minor sensation.
 
How warm is light?
 
When the tungsten filament of a light bulb is heated, it starts glowing – first red, then yellow, and finally bluish. Thus, each color of the light can be assigned a "formation temperature." Blue light is warmer than red light, but tungsten glows differently than iron, for example. This is why physicists calibrate color temperature based on a theoretical model object, a so-called black body. If this body were heated to a temperature of 5,500 centigrade, it would have about the same color as sunlight at noon. In other words: noon light has a temperature of 5,500 degrees Celsius or not quite 5,800 Kelvin (the Kelvin scale does not know any negative values; instead, it starts at absolute zero or -273 centigrade; consequently, Kelvin values are always 273 degrees higher than the corresponding Celsius values).
     
   
http://www.eurekalert.org/multimedia/pub/web/27469_web.jpg
IMAGE: The creators of the "super-photon " are Julian Schmitt (left), Jan Klaers, Dr. Frank Vewinger and professor Dr. Martin Weitz (right).  
 
When a black body is cooled down, it will at some point radiate no longer in the visible range; instead, it will only give off invisible infrared photons. At the same time, its radiation intensity will decrease. The number of photons becomes smaller as the temperature falls. This is what makes it so difficult to get the quantity of cool photons that is required for Bose-Einstein condensation to occur.
 
And yet, the Bonn researchers succeeded by using two highly reflective mirrors between which they kept bouncing a light beam back and forth. Between the reflective surfaces there were dissolved pigment molecules with which the photons collided periodically. In these collisions, the molecules 'swallowed' the photons and then 'spit' them out again. "During this process, the photons assumed the temperature of the fluid," explained Professor Weitz. "They cooled each other off to room temperature this way, and they did it without getting lost in the process."
 
A condensate made of light
 
The Bonn physicists then increased the quantity of photons between the mirrors by exciting the pigment solution using a laser. This allowed them to concentrate the cooled-off light particles so strongly that they condensed into a "super-photon."
 
This photonic Bose-Einstein condensate is a completely new source of light that has characteristics resembling lasers. But compared to lasers, they have a decisive advantage, "We are currently not capable of producing lasers that generate very short-wave light – i.e. in the UV or X-ray range," explained Jan Klärs. "With a photonic Bose-Einstein condensate this should, however, be possible."
 
This prospect should primarily please chip designers. They use laser light for etching logic circuits into their semiconductor materials. How fine these structures can be is limited by the wavelength of the light, among other factors. Long-wavelength lasers are less well suited to precision work than short-wavelength ones – it is as if you tried to sign a letter with a paintbrush.
 
X-ray radiation has a much shorter wavelength than visible light. In principle, X-ray lasers should thus allow applying much more complex circuits on the same silicon surface. This would allow creating a new generation of high-performance chips - and consequently, more powerful computers for end users. The process could also be useful in other applications such as spectroscopy or photovoltaics.
 
###
 
Contact:
Prof. Dr. Martin Weitz
Institut für Angewandte Physik der Universität Bonn
Ph: +49 228/73-4837 or -4836
Email: Martin.Weitz@uni-bonn.de
 
Jan Klärs
Institut für Angewandte Physik der Universität Bonn
Ph: +49 228/73-3453
Email: klaers@iap.uni-bonn.de  


source ; http://www.eurekalert.org/pub_rele [...] 112210.php


Message édité par super_newbie_pro le 17-02-2011 à 12:11:07

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7800603
Zack38
Posté le 17-02-2011 à 13:31:23  profilanswer
 

C'est très bien tout ça, mais c'est pas pour tout de suite.
 
Mais, quel est l'intérêt de conçevoir des transistors atomiques, dans l'immédiat ?

n°7800645
NoradII
Il y a 17 ans naquit un PC
Posté le 17-02-2011 à 14:09:45  profilanswer
 

Zack38 a écrit :

C'est très bien tout ça, mais c'est pas pour tout de suite.
 
Mais, quel est l'intérêt de conçevoir des transistors atomiques, dans l'immédiat ?

la recherche, explorer de nouvelles solutions pour un usage futur ;)


---------------
valid.x86.fr/575505 /842925 /902578
n°7800718
bluedragon​38
Posté le 17-02-2011 à 15:28:54  profilanswer
 

Les scientifiques ne savent pas s'arrêter ;) :/


---------------
Topic Vente
n°7800852
Swiss_Knig​ht
600 MeV since 1957.
Posté le 17-02-2011 à 17:15:09  profilanswer
 

tant qu'y a du café :o


---------------
Hergestellt in der Schweiz.
n°7800864
Fouge
Posté le 17-02-2011 à 17:24:29  profilanswer
 

Zack38 a écrit :

C'est très bien tout ça, mais c'est pas pour tout de suite.
 
Mais, quel est l'intérêt de conçevoir des transistors atomiques, dans l'immédiat ?

Dommage, on va devoir se contenter de ce qu'on a, avec tous les compromis qu'on est obligé de se taper (prix/conso&autonomie/perf) et les produits médiocres qui en résultent. Mais bon, faute de mieux... :/

n°7801042
NoradII
Il y a 17 ans naquit un PC
Posté le 17-02-2011 à 20:00:28  profilanswer
 

Fouge a écrit :

Dommage, on va devoir se contenter de ce qu'on a, avec tous les compromis qu'on est obligé de se taper (prix/conso&autonomie/perf) et les produits médiocres qui en résultent. Mais bon, faute de mieux... :/

médiocre :??: putain t'es difficile :ouch:


---------------
valid.x86.fr/575505 /842925 /902578
n°7801095
Fouge
Posté le 17-02-2011 à 20:58:51  profilanswer
 

NoradII a écrit :

médiocre :??: putain t'es difficile :ouch:

J'ai une fois fait le calcul, car j'ai des rêves d'instantanéité (où toute tâche serait effectuée < 1s) et où les développeurs n'auraient plus besoin de faire des compromis (notamment dans les jeux, les dév sont "hardware limited" depuis toujours) le tout à un cout ridicule et une consommation dérisoire permettant des autonomie de rêve. En gros ça donnerait des perf de 10^3 à 10^6 supérieurs à ce qu'on a de mieux aujourd'hui aujourd'hui (CPU, GPU, RAM, stockage de masse) avec une consommation < 1W et un coût < 100€.

 

Et étrangement, avec les techno de 2011 (32/40/45nm) on est loin d'avoir ce niveau de perf, et c'est encore pire dès qu'on est sur du nomade (portable, netbook, tablette, smartphone) où l'on doit faire de lourd sacrifices sur les perf et il faut aligner les euros dès qu'on veut des perf. Mais j'le comprend bien, faire un GPU de 3Md de transistor c'est pas petit et peu couteux à faire, et un GPU de 300Md de transistors ça n'est tout simplement pas techniquement possible. Il faut donc vivre avec ce que l'on a, mettre ses rêves dans un placard, accepter que tout ne se fasse pas en moins d'1s et continuer à programmer en devant faire de nombreux compromis en espérant que ça se voit pas trop. C'est la vie [:razorbak83]

 

edit: si l'on avait dès aujourd'hui accès au 1nm, ne vous inquiétez pas, il serait déjà pleinement utile et utilisé... en attendant encore mieux :o

Message cité 1 fois
Message édité par Fouge le 17-02-2011 à 21:03:17
n°7801305
gliterr
Posté le 17-02-2011 à 23:51:26  profilanswer
 

Article de Real world Technologies sur les process à venir.
http://www.realworldtech.com/page. [...] 004545&p=1
 
Pfffiouuuu, y'a pas à dire, j'y compris vraiment plus rien à tout ca :)

n°7801400
Zack38
Posté le 18-02-2011 à 08:24:35  profilanswer
 

Fouge a écrit :

J'ai une fois fait le calcul, car j'ai des rêves d'instantanéité (où toute tâche serait effectuée < 1s) et où les développeurs n'auraient plus besoin de faire des compromis (notamment dans les jeux, les dév sont "hardware limited" depuis toujours) le tout à un cout ridicule et une consommation dérisoire permettant des autonomie de rêve. En gros ça donnerait des perf de 10^3 à 10^6 supérieurs à ce qu'on a de mieux aujourd'hui aujourd'hui (CPU, GPU, RAM, stockage de masse) avec une consommation < 1W et un coût < 100€.
 
Et étrangement, avec les techno de 2011 (32/40/45nm) on est loin d'avoir ce niveau de perf, et c'est encore pire dès qu'on est sur du nomade (portable, netbook, tablette, smartphone) où l'on doit faire de lourd sacrifices sur les perf et il faut aligner les euros dès qu'on veut des perf. Mais j'le comprend bien, faire un GPU de 3Md de transistor c'est pas petit et peu couteux à faire, et un GPU de 300Md de transistors ça n'est tout simplement pas techniquement possible. Il faut donc vivre avec ce que l'on a, mettre ses rêves dans un placard, accepter que tout ne se fasse pas en moins d'1s et continuer à programmer en devant faire de nombreux compromis en espérant que ça se voit pas trop. C'est la vie [:razorbak83]  
 
edit: si l'on avait dès aujourd'hui accès au 1nm, ne vous inquiétez pas, il serait déjà pleinement utile et utilisé... en attendant encore mieux :o


 
Hardware-limited...
 
Mouais, tu places un petit peu les dév en tant que victimes de la lenteur de la croissance de la puissance dispo, or bien souvent si leurs applis ne tournent pas très bien ça ne vient pas complètement du matos, mais de l'optimisation douteuse :whistle: que les dév ont bien voulu coder.
 
Et puis bon, des transistors atomiques, ça promet de faire de grands pas en matière de miniaturisation, c'est certain, mais après, il va falloir apprendre à utiliser ces milliards de milliards de transistors pour en faire une puce qui fonctionne et qui est plus perf que ce qui l'a précédé...
 
Ce qui m'a l'air loin d'être évident...
 
Par exemple, sait-on si ces transistors atomiques ont une vitesse de commutation aussi rapide que les transistors actuels ?

n°7801435
Fouge
Posté le 18-02-2011 à 09:36:08  profilanswer
 

Zack38 a écrit :

Hardware-limited...

Quand tu vois toutes les techniques de rendu et les énormes compromis qu'ils sont obligés de faire, oui clairement. Aujourd'hui encore, on est a pas encore trouvé un moyen simple/efficace/précis et rapide de gérer les éclairages/ombres dans les jeux vidéo. Et j'te parle pas de ça il y a 10 ans... On EST "hardware limited" là n'est même pas le débat (on le sera encore dans 10 ans), d'où toute la difficulté à faire du pas trop moche et pas trop lent non plus, à trouver le meilleur compromis, à optimiser (cad tirer le meilleur du hardware car c'est une limite avec laquelle il faut absolument garder en mémoire), petit jeu difficile qui donne les résultats qu'on a aujourd'hui.

 
Zack38 a écrit :

Et puis bon, des transistors atomiques, ça promet de faire de grands pas en matière de miniaturisation, c'est certain, mais après, il va falloir apprendre à utiliser ces milliards de milliards de transistors pour en faire une puce qui fonctionne et qui est plus perf que ce qui l'a précédé...

ATI et nVIdia n'attendent que ça, aujourd'hui ils sont bloqués par le 40nm et doivent se contenter de puces de seulement 2 ou 3Md de transistor avec une consommation à la limite de l'acceptable (300W) et un cout élevé. Les fondeurs de CPU et de GPU se font une course à la miniaturisation : une meilleure finesse de gravure apporte à elle toute seule, plus de perf (fréquence++, transistor++), moins de conso, production + importante pour un même cout, etc.
Tu peux écraser ton concurrent rien qu'avec une finesse de gravure d'avance.

 

Si on pouvait avoir 1000 fois plus perf pour 1000 fois moins de conso, on en profiterais, j'men fais pas pour ça. Derrière ça pousse, mais ça avance pas, il faut faire avec notre techno actuelle, peu avancée certes mais il faut faire avec. On va donc continuer à avoir des PC monstrueux, gros, couteux et nécessitant d'être continuellement branché sur le 220V (alors qu'on devrait se contenter d'une pile qui durerait la vie du PC) et d'appareils nomades avec des perf inimaginablement athmatiques au point qu'on ne peut même pas envisager les même usage que sur PC de bureau. Vivement 2050.


Message édité par Fouge le 18-02-2011 à 09:39:37
n°7801754
Zack38
Posté le 18-02-2011 à 14:16:07  profilanswer
 

En résumé, tu voudrais que les ordinateurs ne consomment presque rien, ne prennent pas de place, ne chauffent pas, et concentrent une puissance de calcul tellement démentielle qu'elle autoriserait toutes les perspectives. Un pur fantasme, malheureusement, qu'on a peu de chances de voir débarquer dans la réalité, qu'il s'agisse de futur proche ou lointain. :/
 
La tendance actuelle consiste à dématérialiser le matériel ; en gros, ce seront de puissants serveurs, à très hautes performances et à besoins conséquents qui se chargeront d'exécuter les softs les plus exigeants, en transmettant le rendu aux gens grâce aux réseaux à très haut débit. Dans ce cadre-là, conçevoir de nouvelles puces ultra-miniaturisées et embarquant une puissance de folie semble inutile...

n°7801808
Fouge
Posté le 18-02-2011 à 15:02:11  profilanswer
 

Zack38 a écrit :

En résumé, tu voudrais que les ordinateurs ne consomment presque rien, ne prennent pas de place, ne chauffent pas, et concentrent une puissance de calcul tellement démentielle qu'elle autoriserait toutes les perspectives. Un pur fantasme, malheureusement, qu'on a peu de chances de voir débarquer dans la réalité, qu'il s'agisse de futur proche ou lointain. :/

T'as tout compris :D Disons que c'est plutôt un objectif qu'on essai d'atteindre, et c'est pas en restant au 45/40/32nm qu'on y arrivera :o
Quand le 28nm les fondeurs de GPU se jetteront dessus (AMD aurait bien aimé déjà l'avoir pour ses HD6900) pour se retrouver aussitôt limité technologiquement, jusqu'à la finesse de gravure suivante, etc. Quel est donc l'intérêt des "transistors atomique" dans l'immédiat ? La question ne se pose pas, tous les fondeurs en rêvent, mais ils doivent se contenter de ce qui est disponible sur le moment.

 
Zack38 a écrit :

La tendance actuelle consiste à dématérialiser le matériel ; en gros, ce seront de puissants serveurs, à très hautes performances et à besoins conséquents qui se chargeront d'exécuter les softs les plus exigeants, en transmettant le rendu aux gens grâce aux réseaux à très haut débit. Dans ce cadre-là, conçevoir de nouvelles puces ultra-miniaturisées et embarquant une puissance de folie semble inutile...

Ou alors ces super-serveurs TOP-500 like, au lieu de faire plusieurs fois la surface d'un terrain de football, consommant plusieurs MW et étant constitués de plusieurs milliers de processeurs, ne fairaient que la taille d'une seule grosse tour, équipée d'un seul CPU massivement multicore et ne consommant que quelque dizaines/centaines de W, alimenté simplement par un panneau solaire à haut-rendement. Leur cout "raisonnable" permettrait à chaque entreprise d'avoir la sienne. C'est la miniaturisation qui permettra l'explosion des serveurs à ultra hautes performances permettant ainsi une dématérialisation massive pour le grand public.

 

Dans tous les cas, la miniaturisation est indispensable, c'est une course qu'ils mènent depuis des dizaines d'années et qu'ils vont continuer pendant encore très longtemps, tant que ce sera nécessaire et tant qu'on arrivera à aller plus loin.

Message cité 1 fois
Message édité par Fouge le 18-02-2011 à 15:07:26
n°7801819
Zack38
Posté le 18-02-2011 à 15:12:30  profilanswer
 

Fouge a écrit :

T'as tout compris :D Disons que c'est plutôt un objectif qu'on essai d'atteindre, et c'est pas en restant au 45/40/32nm qu'on y arrivera :o
Quand le 28nm les fondeurs de GPU se jetteront dessus (AMD aurait bien aimé déjà l'avoir pour ses HD6900) pour se retrouver aussitôt limité technologiquement, jusqu'à la finesse de gravure suivante, etc. Quel est donc l'intérêt des "transistors atomique" dans l'immédiat ? La question ne se pose pas, tous les fondeurs en rêvent, mais ils doivent se contenter de ce qui est disponible sur le moment.
 


 
Eh oui, faut croire que les transistors atomiques, c'est pas pour tout de suite. :) En fait, je suppose qu'on aura eu le temps d'épuiser toutes les technologies de gravure avant qu'on y arrive. :sweat: :/ Et quand ce sera le cas, d'autres problématiques se soulèveront.
 
Car, en dehors de l'exploit de ces transistors minuscules, se pose la question du mode de fonctionnement de ces transistors. Doivent-ils encore fonctionner comme des transistors électroniques, comme des transistors photoniques, quantiques ? :sweat:  
 
L'augmentation du nombre de core n'est pas sans poser problème, puisqu'Intel songe déjà à utiliser la lumière pour connecter entre eux les cores de ses futurs CPU.
 

Fouge a écrit :

Ou alors ces super-serveurs TOP-500 like, au lieu de faire plusieurs fois la surface d'un terrain de football, consommant plusieurs MW et étant constitués de plusieurs milliers de processeurs, ne fairaient que la taille d'une seule grosse tour, équipée d'un seul CPU massivement multicore et ne consommant que quelque dizaines/centaines de W. Leur cout "raisonnable" permettrait à chaque entreprise d'avoir la sienne. C'est la miniaturisation qui permettra l'explosion des serveurs à ultra hautes performances permettant ainsi une dématérialisation massive pour le grand public.
 
Dans tous les cas, la miniaturisation est indispensable, c'est une course qu'ils mènent depuis des dizaines d'années et qu'ils vont continuer pendant encore très longtemps, tant que ce sera nécessaire et tant qu'on arrivera à aller plus loin.


 
A partir du moment où de la puissance, on en a à la pelle, qu'elle ne coûte rien à acheter et rien à entretenir, je vois pas l'intérêt de la cantonner à des serveurs pro. Au contraire, tout le monde voudra avoir sa propre machine. La dématérialisation, elle a de l'intérêt quand elle coûte moins cher que d'acheter et gérer soi-même son propre PC puissant. Si c'est plus le cas, pour quoi faire ?

n°7801823
Ophidian43​o
- When i Say Anger -
Posté le 18-02-2011 à 15:17:42  profilanswer
 

Les Cpu vont chauffer de moin en moin ...
 
Les CG vont chauffer de moin en moin ...
 
Un SSD ça chauffe pas ...
 
 
 
D'ici 5 à 10 ans, plus besoin de boitier Full ATX ...
 
On aura juste besoin de ça:  
 
http://img1.lesnumeriques.com/news/16/16411/news061110-LianLi-PCQ08.jpg
 
Ca sera largement assez grand que pour mettre tout ce dont un PC Hdg a besoin.
 
 :jap:
 
Aujourd'hui, c'est pour ainsi dire " pas possible " ... Tant les dégagements thermique sont importants, et certains composants sont encore imposants. [ CG et Alim ]
 
Mais, pour ma part, je trouve que c'est déjà une petite illustration de la miniaturisation.
 
On finira par avoir des boitier de la taille d'une console de jeux.

Message cité 2 fois
Message édité par Ophidian43o le 18-02-2011 à 15:19:39

---------------
- OooHhh ... Ca va faire mal ! -
n°7801830
Zack38
Posté le 18-02-2011 à 15:25:01  profilanswer
 

Ophidian43o a écrit :

Les Cpu vont chauffer de moin en moin ...
 
Les CG vont chauffer de moin en moin ...
 
Un SSD ça chauffe pas ...
 
 
 
D'ici 5 à 10 ans, plus besoin de boitier Full ATX ...
 
On aura juste besoin de ça:  
 
http://img1.lesnumeriques.com/news [...] -PCQ08.jpg
 
Ca sera largement assez grand que pour mettre tout ce dont un PC Hdg a besoin.
 
 :jap:
 
Aujourd'hui, c'est pour ainsi dire " pas possible " ... Tant les dégagements thermique sont importants, et certains composants sont encore imposants. [ CG et Alim ]
 
Mais, pour ma part, je trouve que c'est déjà une petite illustration de la miniaturisation.
 
On finira par avoir des boitier de la taille d'une console de jeux.


 
Hum. Permets-moi de te dire que je ne suis absolument pas d'accord avec ton point de vue.
 
Si tu regardes l'évolution de la consommation sur les CPU et les GPU, j'ai pas l'impression que ça régresse, au contraire. Sauf dans le cas où une génération a été foireuse à ce niveau-là, et où la gen suivante corrige le défaut et peut donner l'illusion que la conso a tendance à baisser (genre, d'Agena à Deneb).
 
Par exemple :
HD4870 = TDP 160W 55nm
HD5870 = TDP 188W 40nm
On a progressé en matière de process, et pourtant la conso a augmenté...
Ce sont les perfs par watt qui augmentent, mais dans l'absolu la conso et la chauffe ont tendance à augmenter. ;)
 
En ce qui concerne les SSD, c'est vrai qu'ils ne chauffent pas, mais la température des DD n'était déjà pas un problème majeur avant, si ? :spamafote:

n°7801964
Fouge
Posté le 18-02-2011 à 17:21:47  profilanswer
 

Ophidian43o a écrit :

Les Cpu vont chauffer de moin en moin ...
 
Les CG vont chauffer de moin en moin ...

Si on faisait un graphique de la consommation des composants informatiques ou de l'UC complète sur ces 25 dernières années, on verrait plutôt l'inverse, augmentation qui est la preuve qu'on est limité technologiquement, qu'on a compensé en faisant toujours plus gros (à en faire péter le réticule) et plus énergivore. Cependant, je crois qu'on est arrivé ces dernières années à un max qu'on ne peut raisonnablement plus beaucoup dépasser : du coup on est plus que jamais dépendant de l'amélioration de la finesse de gravure. Et malgré ces améliorations, je ne pense pas que ça reparte à la baisse sur Desktop.
 
Par contre je pense qu'il y a une guerre qui se prépare pour tout ce qui est < 10W avec notamment une confrontation x86/ARM qui va être intéressante.
 
edit: quelques ajouts


Message édité par Fouge le 18-02-2011 à 17:31:54
n°7801972
Zack38
Posté le 18-02-2011 à 17:25:34  profilanswer
 

+1
 
Avec M$ qui a rendu compatible son Win8 avec l'architecture ARM, c'est Intel qui peut commencer à se faire du souci, et le progrès qui est en marche ! :sol:

n°7802144
NoradII
Il y a 17 ans naquit un PC
Posté le 18-02-2011 à 20:07:57  profilanswer
 

:ouch: rôh les mecs vous parlé trop !! j'vous laisse :pt1cable:


---------------
valid.x86.fr/575505 /842925 /902578
n°7802164
rienderien
Posté le 18-02-2011 à 20:26:33  profilanswer
 

Surtout les mecs qui pensent jeux vidéo, ridicule.


---------------
http://www.wat.tv/audio/laicite-1wu6_86ls_.html
n°7802183
Zack38
Posté le 18-02-2011 à 20:54:33  profilanswer
 

Que quoi ? :heink:

n°7803629
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 20-02-2011 à 12:14:14  profilanswer
 

Nouvelle usine INTEL ; 5 milliards et objectif 14nm pour 2013

Citation :

Intel Corp. on Friday announced plans to invest more than $5 billion to build a new chip manufacturing facility at its site in Chandler, Arizona. Although the company is looking forward 450mm chip fabs, the new factory will process 300mm wafers at least initially.
 
The new Arizona factory, designated Fab 42, will be the most advanced, high-volume semiconductor manufacturing facility in the world, according to Intel. Construction of the new fab is expected to begin in the middle of this year and is expected to be completed in 2013. The new factory will make chips using 14nm manufacturing process on 300mm wafers.
 
“The investment positions our manufacturing network for future growth. This fab will begin operations on a process that will allow us to create transistors with a minimum feature size of 14nm. For Intel, manufacturing serves as the underpinning for our business and allows us to provide customers and consumers with leading-edge products in high volume. The unmatched scope and scale of our investments in manufacturing help Intel maintain industry leadership and drives innovation, ,” said Brian Krzanich, senior vice president and general manager of manufacturing and supply chain at Intel.
 
The project will create thousands of construction and permanent manufacturing jobs at Intel’s Arizona site.
 
While more than three-fourths of Intel’s sales come from outside of the United States, Intel manufactures three-fourths of its microprocessors in the United States. The addition of this new fab will increase the company’s American manufacturing capability significantly.
 
“The products based on these leading-edge chips will give consumers unprecedented levels of performance and power efficiency across a range of computing devices from high-end servers to ultra-sleek portable devices,” added Mr. Krzanich.

source ; http://www.xbitlabs.com/news/other [...] llion.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7804924
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 21-02-2011 à 13:00:14  profilanswer
 

Superfast Light Peak Optical Interface Coming to Apple Gadgets Soon ?
 
http://www.brightsideofnews.com/Data/2011_2_20/LightPeak-to-Macs/Light%20Peak%20320px.jpg
 
source ; http://www.brightsideofnews.com/ne [...] -soon.aspx


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7808154
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 24-02-2011 à 10:24:04  profilanswer
 

Bien joué le frenchy :
 
Des transistors p et n gravés sur du plastique

Citation :

Anis Daami, un chercheur français travaillant au CEA à Grenoble a présenté une méthode permettant d’imprimer des transistors sur du plastique.
 
http://media.bestofmicro.com/transistor,4-Q-260666-3.jpg
 
Ce procédé permet en plus de graver des transistors de type p ou n, contrairement au processeur et à la mémoire DRAM dont nous vous parlons dans notre actualité Recherche : le processeur en plastique existe qui n'emploient que des transistors de type p
 
M. Daami a montré une série de puces sur une feuille de plastique de 380 x 320 mm gravés par ablation laser. Très grossièrement, on utilise un laser pulsé pour retirer de la matière et graver les motifs désirés. Les puces en démonstration avaient des canaux de 20 microns de large, mais le scientifique affirme qu’il est possible d’augmenter la finesse.
 
Les puces, d’une architecture relativement simple, étaient fonctionnelles à température ambiante sous des conditions normales d’utilisation, ce qui représente une première mondiale. Jusqu’à présent, ces transistors ne fonctionnaient que sous un environnement très spécial en laboratoire.

source ; http://www.presence-pc.com/actuali [...] que-42680/
 
**************
 
Le processeur tout-en-un de 1 mm³

Citation :

Des scientifiques de l’Université du Michigan ont présenté ce qu’ils appellent le processeur tout-en-un à l’échelle du millimètre.
 
Le tout-en-un vient du fait qu’il dispose d’un microprocesseur, d’un capteur, d’une mémoire, une batterie, d’un panneau solaire permettant de l’alimenter en électricité et d’un module radio pour recevoir et transmettre des données. C’est un processeur qui en principe se suffit à lui même. Il est destiné à servir de capteur et être placé dans les yeux de patients victimes de glaucome afin de mesurer la pression intraoculaire et surveiller la progression de la maladie.
 
Les chercheurs envisagent qu’à terme, la médecine pourra insérer des dizaines, voire des centaines de ces petits capteurs dans le corps humain pour surveiller divers de ses aspects. Demandant moins d’un millimètre cube, il consomme en moyenne 5,3 nanoWatts et se réveille toutes les 15 minutes pour prendre ses mesures et transmettre ses résultats. Il faut une heure et demie à la lumière du soleil ou dix heures à la lumière artificielle pour recharger ses batteries.

source ; http://www.presence-pc.com/actualite/processeur-42667/
 
 
*************
 
Samsung to Initiate Test Production of 20nm Chips in 2011.
 
Samsung: 20nm Chips Will Feature Up to 12 Billion of Transistors
by Anton Shilov
 
Samsung Electronics, a major maker of consumer electronics, memory and various semiconductors, said on Wednesday that later this year it would start test production of chips using 20nm process technology. The company will offer its foundry customers test shuttles in the second half of the year. So far Samsung is the first foundry provider to promise test of 20nm in 2011.
 
"Our 20nm technology will be a full node shrink from 28nm, enabling the ~50% area scaling that the industry has come to expect with each technology generation. The technology has been designed to allow us to maintain our leadership in die size and cost, which remain critical factors for customers at leading-edge nodes. [...] Test-chip shuttles for customers will begin in the second half of this year," said Ana Hunter, vice president of Samsung’s North American foundry services, in a blog post.
 
Samsung's 20nm process technology will use second-generation gate last high-K metal gate (HKMG) process technology as well as fifth-generation strained silicon wafers. In addition, the fabrication process will utilize second-generation ultra-low k dielectrics to lower power dissipation by reducing interconnect capacitance and wiring delay. Samsung also plans to change local interconnect and self-aligned vias to achieve cell-level scaling and elimination of a metal layer. Finally, 193nm immersion lithography will be supplemented by source-mask optimization constrained minimum pitch to reduce the need for time-consuming, costly double-patterning.  
 
http://www.xbitlabs.com/images/news/2011-02/transistor_count_scaling_samsung_2011.jpg
 
Actual commercial chips made using 20nm process technologies are years away, but there are interesting assumptions that Samsung makes about them. The largest chips produced using 40nm fabrication process incorporate from two to three billion of transistors; chips made at 28nm node are projected to integrate already four to six billion; and processors manufactured utilizing 20nm technology will be able to pack in eight to twelve billion of elements. In general, this means that performance of chips due in 2013 - 2014 will be at least three times higher compared to those available today. In reality, performance leaps can be much greater in certain applications as chip designers rebalance their products to better match demands of tomorrow's applications. Alternatively, process technology shrink means that power consumption of devices comparable to today's will decrease by several times in the coming years.
 
"The 20nm technology is ideally suited for a broad range of high performance and power-sensitive devices, including chips designed for smartphones, tablets, other portable consumer electronics as well as IT communications infrastructure," noted Ms. Hunter.
 
It is noteworthy that Samsung Electronics, the company that is not a leader in the foundry business, became the first to promise 20nm test shuttles in the latter half of the year. Globalfoundries, one of the largest contract makers of semiconductors, plans to start risk production using 20nm/22nm in late 2012, but the company has never disclosed when does it plan to start offering test shuttles for 20nm and 22nm chips.
 
source ; http://www.xbitlabs.com/news/other [...] _2011.html


Message édité par super_newbie_pro le 24-02-2011 à 13:09:28

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7812067
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 27-02-2011 à 17:34:28  profilanswer
 

Le transistor du futur sera-t-il en molybdène ?
 
Une couche bidimensionnelle d’un minéral connu sous le nom de molybdénite se comporte comme un semi-conducteur selon les chercheurs de l'école polytechnique fédérale de Lausanne (EPFL). Abondante dans la nature, la molybdénite a le potentiel de détrôner le graphène dans la course au transistor du futur.
 
Dans beaucoup de laboratoires de physique du solide par le monde, des études sont menées pour concevoir des transistors plus petits et moins gourmands en énergie. On spécule parfois aussi sur une nanoélectronique qui pourrait concrétiser certains rêves de la science-fiction et en particulier à atteindre la fameuse singularité avancée par les transhumanistes. Plus sobrement, toute nouvelle découverte permettant à la loi de Moore de perdurer un peu plus longtemps est évidemment la bienvenue.
 
C’est ainsi que l’on a attribué le prix Nobel de physique aux découvreurs du graphène car ce matériau bidimensionnel a bel et bien le potentiel de remplacer le silicium pour l’électronique du futur. Malheureusement, contrairement aux semi-conducteurs, le graphène ne possède pas naturellement de « gap » d’énergie, c'est-à-dire un intervalle entre une bande d’énergie de valence et une bande d’énergie de conduction pour les électrons qui s’y déplacent. De plus, il n’est pas particulièrement facile à fabriquer.
 
La molybdénite concurrence le graphène
 
Toutefois, il existe un minéral de formule MoS2, la molybdénite, que l’on trouve en grande quantité à l’état naturel et qui est utilisé dans des alliages avec les aciers ou pour des additifs dans les lubrifiants. Or, selon un article publié dans Nature Nanotechnology par les chercheurs du laboratoire d'électronique et structures à l'échelle nanométrique de l’EPFL, un feuillet bidimensionnel de molybdénite se comporte comme un excellent semi-conducteur.
 
Ils ont pu montrer que dans un feuillet de 0,65 nanomètre de MoS2, les électrons peuvent se déplacer aussi facilement que dans une couche de silicium de 2 nanomètres d’épaisseur. Comme on ne sait pas fabriquer des couches aussi fines avec du silicium, la molybdénite apparaît donc comme un meilleur candidat que le graphène (puisque moins chère et se comportant directement comme un semi-conducteur) pour fabriquer des transistors plus petits dans un avenir proche.
 
Les physiciens affirment déjà que l’on peut réaliser avec de la molybdénite des transistors 100.000 fois moins gourmands en énergie à l’état de veille. On devrait aussi pouvoir s’en servir pour fabriquer des diodes électroluminescentes et des cellules solaires.
 
http://www.futura-sciences.com/uploads/tx_oxcsfutura/transistor_molybdene_EPFL.jpg
 
source ; http://www.futura-sciences.com/fr/ [...] ene_27681/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7812089
NoradII
Il y a 17 ans naquit un PC
Posté le 27-02-2011 à 17:49:03  profilanswer
 

du SOI plus perf [:zaipetruredfadeiping]


---------------
valid.x86.fr/575505 /842925 /902578
mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR