Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1119 connectés 

 

 

 Mot :   Pseudo :  
 
 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18
Auteur Sujet :

News - Technologies, procédés, découvertes, actualité et situation

n°7237992
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 08-12-2009 à 04:35:34  profilanswer
 

Reprise du message précédent :
Single-atom transistor discovered !

Citation :

Press release December 3rd, 2009: "Researchers from Helsinki University of Technology (Finland), University of New South Wales (Australia), and University of Melbourne (Australia) have succeeded in building a working transistor, whose active region composes only of a single phosphorus atom in silicon. The results have just been published in Nano Letters."

mood
Publicité
Posté le 08-12-2009 à 04:35:34  profilanswer
 

n°7238012
Zack38
Posté le 08-12-2009 à 07:05:51  profilanswer
 

Wirmish a écrit :

Je préfère encore la mémoire PMC (Programmable-Metallization-Cell) à base de nanoparticules. Cette mémoire n'aurait aucune limite d'écritures/lectures, et sa vitesse serait proportionnelle à la charge électrique qui serait utilisée, et cette mémoire serait non volatile. De plus, la mémoire PMC pourrait être gravée à moins de 10nm. Cette techno pourraient même permettre de créer des CPU dont les circuits hardware seraient modifiables en temps réel !
 
Ce nouveau type de mémoire permettrait de réduire la consommation d'énergie de moitié et offrir des capacités de stockage allant jusqu'au Teraoctet dans un format de carte mémoire. Entre sa très faible consommation d'énergie et sa densité de stockage élevée, elle ouvre de nouvelles perspectives grâce à ses réarrangements atomiques capables de stocker plusieurs bits d'information par unité de mémoire et sa structure en couches superposées.
 
Les unités de mémoire sont composées d'un électrolyte solide entouré de deux électrodes métalliques. Les ions métalliques de l'électrolyte forment des arrangements atomiques stables quand un courant à faible voltage est appliqué et produisent des nano-filaments laissant alors passer les électrons. Les nanofilaments ne se dissolvent que si une tension opposée leur est appliquée, lui donnant la propriété d'une mémoire non volatile.
 

Citation :

PMC technology has been licensed to Infineon (Qimonda), Micron Technology, and Adesto Technologies, and several other large semiconductor companies and OEMs have also shown interest in the new technology.



 
Au fait, qu'est-ce qui permet à cette mémoire de modifier les circuits hardware en temps réel ?
 

Wirmish a écrit :

Single-atom transistor discovered !

Citation :

Press release December 3rd, 2009: "Researchers from Helsinki University of Technology (Finland), University of New South Wales (Australia), and University of Melbourne (Australia) have succeeded in building a working transistor, whose active region composes only of a single phosphorus atom in silicon. The results have just been published in Nano Letters."



 
Un transistor d'un atome !! :ouch:  
Bon, voilà qui devrait résoudre les problèmes de densité ... :D

Message cité 1 fois
Message édité par Zack38 le 08-12-2009 à 07:06:37
n°7239308
Wirmish
¡sıɹdɹns zǝɹǝs snoʌ
Posté le 09-12-2009 à 04:50:24  profilanswer
 

Zack38 a écrit :

Au fait, qu'est-ce qui permet à cette mémoire de modifier les circuits hardware en temps réel ?


D'après ce que j'ai compris, les ions métalliques peuvent migrer entre les électrolytes et ainsi modifier le tracé du chip, ou plus précisément, les "chemins" que peuvent emprunter les électrons peuvent être modifiés en temps réel, un peu comme dans une gare de triage, mais à l'échelle atomique.

Citation :

The PMC memory technology creates nanowires from copper atoms the size of a virus to record binary ones and zeros. ... PMC builds an on-demand copper bridge between two electrodes. ... The key enabling technology for the memory is nano-ionics, a field that focuses on moving and transforming positively charged atoms. In PMC memory, the charged atoms, or ions, are harnessed by applying a negative charge, which transforms them into copper atoms lined up to form nanowires. ... If the PMC is fed a positive charge, the copper atoms return to their previous free-floating state, and the nanowires disassemble."

Comme on le sait le cuivre est un très bon conducteur. Alors si on crée des "chemins" en cuivre dans une puce, on peut diriger les électrons là où on veut. Suffit de faire "disparaître" un pont de cuivre pour modifier un circuit. Alors si on a des milliers de "ponts", que l'on peut "ouvrir" ou "fermer", alors on peut modifier le fonctionnement d'une puce de façon hardware. On pourrait même utiliser cette technologie pour créer une puce dont on pourrait "corriger" les défaut de gravure en modifiant les tracés de cuivre. Qui ne voudrait pas avoir des yields de 100% ?!

Citation :

In Feb 2009, Numonyx had taped out a 1Gbit PCM die using 45nm process technology. Numonyx has a 32nm process apparently planned for 2010. Doller also said that IBM researchers had demonstrated workable PCM dies with a 5nm process.

Citation :

Random lantency in a PCM device is 17 times faster than Flash memory and over 3000 times faster than a hard drive. The biggest drawback in PCM is the price, which is about 10 times higher than DRAM at this point. The pricing, however, will come down over time and as fabricating processes become improved.

Citation :

No other technology can deliver the orders-of-magnitude improvement in power, performance and cost that this memory can," says Narbeh Derhacobian, CEO of Adesto, who previously worked at AMD's flash-memory division.


 

Citation :

WIKIPEDIA: "PMC is not the only application of this basic concept, which relates to "nanoionics". Other prospective applications include dynamically-reroutable electronics, optical switches, and microfluidic valves."


 
Autre mémoire qui semble aussi être capable de belles prouesses :

Citation :

TAS-MRAM—This is being developed by startup Crocus Technology. As one of the most promising "spintronics" applications, TAS-MRAM combines the advantages of high writing and reading speed, limitless endurance and nonvolatility. The integration of TAS-MRAM in FPGA (field-programmable gate array) allows the logic circuit to rapidly configure the algorithm, the routing and logic functions, and easily realize the dynamical reconfiguration and multicontext configuration.

Message cité 1 fois
Message édité par Wirmish le 09-12-2009 à 05:11:44
n°7239734
Zack38
Posté le 09-12-2009 à 14:00:31  profilanswer
 

Wirmish a écrit :


D'après ce que j'ai compris, les ions métalliques peuvent migrer entre les électrolytes et ainsi modifier le tracé du chip, ou plus précisément, les "chemins" que peuvent emprunter les électrons peuvent être modifiés en temps réel, un peu comme dans une gare de triage, mais à l'échelle atomique.

Citation :

The PMC memory technology creates nanowires from copper atoms the size of a virus to record binary ones and zeros. ... PMC builds an on-demand copper bridge between two electrodes. ... The key enabling technology for the memory is nano-ionics, a field that focuses on moving and transforming positively charged atoms. In PMC memory, the charged atoms, or ions, are harnessed by applying a negative charge, which transforms them into copper atoms lined up to form nanowires. ... If the PMC is fed a positive charge, the copper atoms return to their previous free-floating state, and the nanowires disassemble."

Comme on le sait le cuivre est un très bon conducteur. Alors si on crée des "chemins" en cuivre dans une puce, on peut diriger les électrons là où on veut. Suffit de faire "disparaître" un pont de cuivre pour modifier un circuit. Alors si on a des milliers de "ponts", que l'on peut "ouvrir" ou "fermer", alors on peut modifier le fonctionnement d'une puce de façon hardware. On pourrait même utiliser cette technologie pour créer une puce dont on pourrait "corriger" les défaut de gravure en modifiant les tracés de cuivre. Qui ne voudrait pas avoir des yields de 100% ?!


 
S'il est possible de modifier les pistes électroniques d'une puce, il doit aussi être possible de la faire évoluer ... non ? Par exemple, avec une MàJ BIOS, le CPU subit un petit refresh qui le rend compatible avec tous les jeux d'instructions du moment . A moins que ces jeux d'instructions ne nécessitent un matériel particulier sur place ...
 
 

Wirmish a écrit :

Autre mémoire qui semble aussi être capable de belles prouesses :

Citation :

TAS-MRAM—This is being developed by startup Crocus Technology. As one of the most promising "spintronics" applications, TAS-MRAM combines the advantages of high writing and reading speed, limitless endurance and nonvolatility. The integration of TAS-MRAM in FPGA (field-programmable gate array) allows the logic circuit to rapidly configure the algorithm, the routing and logic functions, and easily realize the dynamical reconfiguration and multicontext configuration.



 
Oui mais non, on a déjà trouvé la mémoire miracle :D

n°7251719
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 18-12-2009 à 14:10:36  profilanswer
 

Intel investit 7 milliards pour le 32nm, travaille activement sur le développement du 22nm et continue ses recherches sur le 16 et 11nm !
 

Citation :

Intel this afternoon revealed that it has already started shipping the processors it plans to unveil at CES and Donanimhaber.com published some new documents from Intel.
 
According to slides, Intel invested at least $7b for 32nm process. In addition to that ChipZilla Intel are working on development stage of 22nm and research 16nm & 11nm.


 
http://www.donanimhaber.com/image.aspx/images/haber/WTM_intel_22nm_16nm_15nm_dh_fx57.jpg
 
source : http://www.donanimhaber.com/ntel_3 [...] -17142.htm et http://www.donanimhaber.com/ntel_2 [...] -17143.htm


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7251732
Profil sup​primé
Posté le 18-12-2009 à 14:19:26  answer
 

on aura quelles fréquences à 11nm ? :D

n°7251785
dll_75
Posté le 18-12-2009 à 14:56:13  profilanswer
 


 
ca sera en Thz  :whistle:  
 
 :hello:

n°7251854
Zack38
Posté le 18-12-2009 à 15:53:21  profilanswer
 


 
Avec la multiplication du nombre de coeurs qui accompagnera le 11nm, on peut d'ores et déjà affirmer sans trop s'avancer que la fréquence restera à quelques centaines de MégaHertz près celle d'aujourd'hui, si Intel décide de prolonger l'utilisation du procédé de gravure CMOS . En revanche, s'il a recourt à un autre procédé, je ne peux rien prédire, n'ayant aucune information au sujet de ces alternatives certainement bien plus intéressantes pour les clients que nous sommes que le vieillissant procédé CMOS .
 
Par contre, ce qui est quasi-certain, c'est que la capacité d'Overclocking ira croissante si l'on regarde l'évolution de l'informatique au fil des décennies ... alors que jadis on arrivait avec peine à accroître la fréquence de plusieurs MégaHertz, aujourd'hui on peut quelquefois l'augmenter de quasi-1GHz, si ce n'est bien plus avec un refroidissement plus extrême tel que l'azote liquide ou l'hélium liquide .

n°7251857
Profil sup​primé
Posté le 18-12-2009 à 15:55:27  answer
 

au fait zack, t'as trouvé de la vulgarisation en français depuis ? :D

n°7251864
Zack38
Posté le 18-12-2009 à 16:00:07  profilanswer
 

Pour le topic BD ? Vérifie bien .. :D

mood
Publicité
Posté le 18-12-2009 à 16:00:07  profilanswer
 

n°7262932
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 28-12-2009 à 10:54:14  profilanswer
 

Scientists Create World’s First Molecular Transistor

Citation :

New Haven, Conn. — A group of scientists has succeeded in creating the first transistor made from a single molecule. The team, which includes researchers from Yale University and the Gwangju Institute of Science and Technology in South Korea, published their findings in the December 24 issue of the journal Nature.
 
The team, including Mark Reed, the Harold Hodgkinson Professor of Engineering & Applied Science at Yale, showed that a benzene molecule attached to gold contacts could behave just like a silicon transistor.
 
The researchers were able to manipulate the molecule’s different energy states depending on the voltage they applied to it through the contacts. By manipulating the energy states, they were able to control the current passing through the molecule.
 
“It’s like rolling a ball up and over a hill, where the ball represents electrical current and the height of the hill represents the molecule’s different energy states,” Reed said. “We were able to adjust the height of the hill, allowing current to get through when it was low, and stopping the current when it was high.” In this way, the team was able to use the molecule in much the same way as regular transistors are used.
 
The work builds on previous research Reed did in the 1990s, which demonstrated that individual molecules could be trapped between electrical contacts. Since then, he and Takhee Lee, a former Yale postdoctoral associate and now a professor at the Gwangju Institute of Science and Technology, developed additional techniques over the years that allowed them to “see” what was happening at the molecular level.
 
Being able to fabricate the electrical contacts on such small scales, identifying the ideal molecules to use, and figuring out where to place them and how to connect them to the contacts were also key components of the discovery. “There were a lot of technological advances and understanding we built up over many years to make this happen,” Reed said.
 
There is a lot of interest in using molecules in computer circuits because traditional transistors are not feasible at such small scales. But Reed stressed that this is strictly a scientific breakthrough and that practical applications such as smaller and faster “molecular computers”—if possible at all—are many decades away.
 
“We’re not about to create the next generation of integrated circuits,” he said. “But after many years of work gearing up to this, we have fulfilled a decade-long quest and shown that molecules can act as transistors.”
 
Other authors of the paper include Hyunwook Song and Yun Hee Jang (Gwangju Institute of Science and Technology); and Youngsang Kim and Heejun Jeong (Hanyang University).
 
Citation: 10.1038/nature08639
 
 
PRESS CONTACT: Suzanne Taylor Muzzin 203-432-8555

source : http://opa.yale.edu/news/article.aspx?id=7169&s=t
 
 
Le premier transistor moléculaire au monde

Citation :

Pour la première fois au monde, des chercheurs de l’Université de Yale et de l’Institut des Sciences et Technologies de Gwangju en Corée du Sud, viennent de créer un transistor à partir d’une seule molécule.
Une molécule qui agit comme un transistor
 
Les scientifiques ont pu ajuster la tension d'une molécule de benzène (C6H6) attachée à des connecteurs en or pour modifier son état, prouvant que la structure peut se comporter de la même manière qu’un transistor en silicium contrôlant le passage du courant.
Le début d’une révolution
 
Les universitaires viennent de publier les résultats de leurs travaux dans la revue Nature, mais ont aussi avoué qu’il faudra attendre plusieurs décennies avant de voir cette technologie passer des laboratoires aux chaînes de production. Ces recherches ne sont pas non plus les seules dans le domaine de la miniaturisation des transistors et des scientifiques finlandais et australiens ont présenté au début du mois un transistor fabriqué à partir d’un seul atome.
 
On notera aussi le clin d’oeil historique alors que ces recherches ont été publiées par Yale le jour de l’anniversaire du premier transistor fonctionnel par John Bardeen et Walter Brattain, le 23 décembre 1947.

source : http://www.presence-pc.com/actuali [...] tor-37668/


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7263190
Profil sup​primé
Posté le 28-12-2009 à 14:03:13  answer
 

vivrais-je assez longtemps pour voir des processeurs basés sur ça ?

n°7263552
Zack38
Posté le 28-12-2009 à 18:22:41  profilanswer
 

Les transistors de cette dimension seront plutôt réservés aux laptops, qui doivent allier miniaturisation à puissance .
Les PC desktop n'en bénéficieront peut-être jamais ...

n°7263561
Profil sup​primé
Posté le 28-12-2009 à 18:32:41  answer
 

tu veux dire que des processeurs basés sur ça n'offriront pas assez de puissance par rapport à ce qu'on peut faire d'autre sans les contraintes de miniaturisation ?

n°7264416
Zack38
Posté le 29-12-2009 à 11:26:33  profilanswer
 

Tu as formulé ta phrase n'importe comment, mais oui, un processeur aux transistors moléculaires n'aura pas les mêmes performances qu'un processeur aux transistors ordinaires . Je pense même que les transistors d'aujourd'hui font mieux, m'enfin bon, je peux carrément me tromper ...

n°7264902
Profil sup​primé
Posté le 29-12-2009 à 16:25:14  answer
 

Zack38 a écrit :

Tu as formulé ta phrase n'importe comment, mais oui, un processeur aux transistors moléculaires n'aura pas les mêmes performances qu'un processeur aux transistors ordinaires . Je pense même que les transistors d'aujourd'hui font mieux, m'enfin bon, je peux carrément me tromper ...


tu m'as compris  :D

n°7264942
Zack38
Posté le 29-12-2009 à 16:57:09  profilanswer
 

Toutafay, j'ai déjà connu pire .  :p  
Il m'a fallu 1min pour comprendre le message, quoi ...  :o

n°7264946
Profil sup​primé
Posté le 29-12-2009 à 16:59:08  answer
 

peut-être qu'avec un bon o/c, t'aurais décodé + vite :o

 


:lol:


Message édité par Profil supprimé le 29-12-2009 à 16:59:18
n°7264952
Zack38
Posté le 29-12-2009 à 17:02:53  profilanswer
 

Désolé, mais le code kunta92 n'est pas encore répertiorié chez moi  :whistle:  
 
 :D

n°7265416
Profil sup​primé
Posté le 29-12-2009 à 21:45:53  answer
 

il te manque un jeu d'instructions ?
 
 
 
 
 
 
 
  [:jesorsv]


Message édité par Profil supprimé le 29-12-2009 à 21:46:30
n°7265840
Profil sup​primé
Posté le 30-12-2009 à 11:02:53  answer
 

EDIT pour super_pro_newbie :o

Spoiler :

Il dit qu'il a pas de P4  [:farpaitement]


Message édité par Profil supprimé le 30-12-2009 à 13:30:59
n°7265921
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 30-12-2009 à 11:54:54  profilanswer
 

Vous ne voulez pas effacer vos posts hors sujet ? Quelqu'un qui débarque sur ce topic serait plus enclin à trouver des news à la suite voire quelques échanges en rapport plutot que de la discussion privée sur du P4


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7266046
Zack38
Posté le 30-12-2009 à 13:10:29  profilanswer
 

Si ça peut te faire plaisir ...
Voilà, dans AUCUN de mes posts je ne parle de P4 :d sauf celui-là :p


Message édité par Zack38 le 05-01-2010 à 15:03:44
n°7268726
marte
Posté le 01-01-2010 à 22:07:41  profilanswer
 

Drapal +1

n°7283751
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 12-01-2010 à 00:38:00  profilanswer
 

oh oh oh !! Global Foundries 28nm wafer spotted
 
http://www.semiaccurate.com/static/uploads/2010/01_january/Glofo_28nm.JPG
 

Citation :

LOBAL FOUNDRIES has been way ahead of the curve with process tech when compared to any other foundry on the market. Today, it somewhat accidentally cemented that lead by publicly displaying an unlabeled 28nm wafer.
 
28nm wafers that are not test structures
 
Global Foundries representatives would not talk about what chips were on that wafer, but they were definitely not the test SRAM structures that we saw in June. The new wafers were quite irregular in appearance, so they could be CPU or GPU chips, or they could be some very advanced test structures. Given the size ballparks at over 300mm^2, they are unlikely to be ARM cores, but they could be advanced SOCs based on ARM designs.
 
In any case, Global Foundries 28nm process is looking good. Officially, it is still on track for the second half of 2010, but given this showing, that may be an overly cautious timetable. Progress like this tends to lead to a slew of new products, so bring on the new toys

source : http://www.semiaccurate.com/2010/0 [...] r-spotted/
 
En français :
 

Citation :

Alors que certains (qui a dit TSMC ?) peinent encore à fiabiliser leur procédé de gravure en 40 nm, GlobalFoundries expose déjà ses premiers wafers gravés en 28 nm.
 
S’il tient son calendrier, le fondeur pourrait commencer à utiliser cette finesse de gravure avant la fin de l’année. En attendant, il faudra toutefois se contenter de cette jolie photo. Le design relativement complexe des puces gravées sur ce wafer laisse supposer qu’il pourrait s’agir de SoC basés sur une architecture ARM. Ou pas…

source : http://www.presence-pc.com/actuali [...] fer-37844/


Message édité par super_newbie_pro le 12-01-2010 à 00:39:23

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7284519
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 12-01-2010 à 16:33:09  profilanswer
 

TSMC sursaute suite à la présentation du waffer de 28nm de GF mdr.. et réplique avec des communiqués  :D  
 
 Qualcomm and TSMC Collaborating on 28nm Process Technology
 

Citation :

Qualcomm and TSMC are working on both high-k metal gate (HKMG) 28HP and silicon oxynitride (SiON) 28LP technologies. Qualcomm expects to tape out its first commercial 28nm products in mid-2010.


 
http://www.tsmc.com/tsmcdotcom/PRL [...] ewsid=4462
 
******************
Rappel :
 
 TSMC Achieves 28nm SRAM Yield Breakthrough
 

Citation :

The 28LP process is expected to enter risk production at the end of Q1 of 2010, followed closely by
the 28HP risk production at the end of Q2 and
the 28HPL risk production in Q3.
 
The 28nm LP process will serve as a fast time-to-market and low cost technology ideal for cellular and mobile applications.
 
The 28nm HP process is expected to support devices such as CPUs, GPUs, Chipsets, FPGAs, networking, video game consoles, and mobile computing applications that are performance demanding.

The 28nm HPL process features low power, low leakage, and medium-high performance. It is aimed to support applications such as cell phone, smart netbook, wireless communication and portable consumer electronics that demand low leakage.


 
http://www.tsmc.com.tw/tsmcdotcom/ [...] 2009/08/24


Message édité par super_newbie_pro le 12-01-2010 à 16:43:00

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7284617
Zack38
Posté le 12-01-2010 à 17:37:11  profilanswer
 

En gros, on va oublier le 32nm pour les cartes graphique et directement passer au 28nm . [:clooney3]  
 
Reste à savoir si les procédés de gravure en 28nm de GF et TSMC sont fiables ou pas . [:clooney2]  
 
Autant pour GF, j'ai à peu près confiance, autant pour TSMC, j'ai comme un gros doute ... [:clooney18]

n°7286969
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 14-01-2010 à 08:44:23  profilanswer
 

Globalfoundries vient d’annoncer avoir finalisé le rachat de Chartered. Les deux sociétés ont donc maintenant complètement fusionné.
 
Le nouveau visage de Globalfoundries
 
Cette acquisition aura apporté 10 000 employés, 12 sites et 150 clients à Globalfoundries qui devrait profiter d’un chiffre d’affaires supplémentaire de 2,75 milliards de dollars (env. 1,90 milliard d’euros), selon les résultats financiers annuels de Chartered pour 2009. Ce chiffre donne de la perspective aux 4 milliards de dollars (env. 2,8 milliards d’euros) déboursé par l’ancienne division d’AMD pour ce rachat.
 
Cette nouvelle union permettra aux employés de Chartered de profiter des usines et de l’expérience d’AMD tandis que ce dernier pourra mieux rivaliser avec TSMC et Intel en se faisant un nom plus rapidement dans le marché des fondeurs, attirant des clients plus rapidement. Globalfoundries est aussi en train de faire des réductions de prix importantes en proposant des masques à des prix 40 % à 50 % inférieurs à la concurrence.  :lol: Lorsque l’on sait qu’un ensemble de masques peut atteindre le million de dollars, on comprend pourquoi le nouveau fondeur suscite de plus en plus d’intérêt. Ces réductions montrent que la firme est confiante et qu’elle peut faire face à la dure concurrence de ce marché.
 
Le futur de Globalfoundries
 
En plus des usines de Chartered, Globalfoundries devrait profiter d'ici quelques années de la nouvelle fab actuellement en construction à New York. Coûtant 4,6 milliards de dollars (env. 3,17 milliards d’euros) et demandant 1 400 employés, elle pourra produire 425 millions de wafers par mois d’ici 2015. source : http://www.presence-pc.com/actuali [...] tel-37885/ source : http://finance.yahoo.com/news/GLOB [...] l?x=0&.v=1
 
********************
 
Les wafers de 450 mm seront-ils retardés ?
 
Alors que Samsung, TSMC et Intel essaient de promouvoir la création d’usines capables de produire des wafers de 450 mm d’ici 2012, les coûts pharaoniques de cette technologie devraient probablement retarder son arrivée.
 
Évènements défavorables
 
Alors que les outils de démonstration pour les premiers wafers de 450 mm sont censés utiliser une finesse de gravure de 32 nm, les machines et les coûts en recherche et développement sont tellement importants que de plus en plus de rumeurs affirment que l’on ne verra pas de telles galettes avant le 15 nm. Si les grands fondeurs sont enthousiastes, les équipementiers ont du mal à soutenir cette technologie qui est encore difficile à mettre en place et qui a été retardée en raison de la crise économique.
 
Une technologie trop risquée et trop coûteuse
 
On se souvient que la transition entre les wafers de 200 mm et ceux de 300 mm fut aussi douloureuse, mais elle a augmenté la productivité de 2,6 fois. Or, certains analystes ont du mal à croire que le 450 mm soit bénéfique que le 300 mm en son temps pour couvrir les investissements, ce qui rend les marchés encore plus hésitants. De plus, les fondeurs continuent d'améliorer les technologies portant sur le 300 mm et préfèrent exploiter au maximum les ressources déjà existantes plutôt que de prendre un pari risqué, ce qui a poussé le P.D.G de l'équipementier Novellus System à déclarer la mort du 450 mm. Bref, 2012 semble compromis. source : http://www.presence-pc.com/actuali [...] tel-37885/ source : http://www.eetimes.com/rss/showArt [...] es_newsRSS


Message édité par super_newbie_pro le 14-01-2010 à 08:45:15

---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7289445
Zack38
Posté le 15-01-2010 à 21:26:56  profilanswer
 

A votre avis, quelle sera la technologie d'économie d'énergie "révolutionnaire" qu'Intel implémentera dans sa future architecture Haswell (l'après-Sandy Bridge) ?

n°7290214
Zack38
Posté le 16-01-2010 à 14:38:21  profilanswer
 

Les puces électroniques pourraient se fabriquer toutes seules
 
Mélangez, secouez bien, laissez reposer : voilà la recette mise au point pour construire, ou plutôt laisser se construire, certains composants électroniques par auto-assemblage. Le secret réside dans la non miscibilité de l'eau et de l'huile, un problème bien connu de celles et ceux qui confectionnent eux mêmes la vinaigrette et la mayonnaise.
 
Des composants électroniques simples, comme des diodes électroluminescentes ou des cellules solaires, pourraient être fabriqués très facilement par auto-assemblage, ce qui en réduirait considérablement le coût tout en permettant d'excellentes performances. A l'Université du Minnesota, Heiko O. Jacobs et son équipe du Department of Electrical and Computer Engineering viennent de le démontrer brillamment. Ces spécialistes de l'auto-assemblage de structures ont réalisé ainsi 64.000 cellules solaires... en trois minutes !  
 
La recette vient d'être détaillée dans les Pnas et comporte plusieurs étapes, dont deux font appel à l'auto-assemblage, une voie actuellement très étudiée en nanotechnologie. Il faut d'abord fabriquer les éléments essentiels de la cellule solaire, qui se compose de deux parties. L'une, en or et de forme carrée, est destinée à venir se loger dans l'autre, en silicium, formant une structure creuse. La taille de ces éléments est de quelques dizaines de microns (ou micromètres, pour millionièmes de mètre). Leur réalisation fait appel à des techniques classiques mais leur assemblage se fait ensuite par simple immersion dans un bain d'éthylène-glycol porté à 100°C. Les formes creuses en silicium portent une petite goutte de soudure à froid et les petits morceaux d'or viennent s'y installer.
 
Une technique qui s'accommode de multiples supports
 
Sur la face en silicium est apposée une substance hydrophobe, autrement dit qui fuit l'eau. La face dorée, elle, reçoit des molécules hydrophiles, qui aiment l'eau. Ces éléments sont alors plongés dans un récipient contenant de l'huile et de l'eau. Non miscibles, les deux liquides s'installent l'un au-dessus de l'autre. Les petites structures se rassemblent alors spontanément à l'interface entre les deux, la face en silicium côté huile et la face en or côté eau.  
 
Parallèlement a été préparé un ruban portant, gravées, les connexions à réaliser autour de chaque élément pour former les cellules solaires. A l'endroit où devront s'installer un élément se trouve une dépression dont la forme est identique et qui est enduite de soudure à froid.  
 
Le ruban se trouve dans le récipient, verticalement, et il est lentement tiré vers le haut. C'est la seconde phase d'auto-assemblage. Au niveau de l'interface entre l'huile et l'eau, les petits éléments viennent se fixer sur le ruban dans les zones creuses recouvertes de soudure à froid, donc à l'endroit qui leur est réservé.  
 
Voilà deux ans que l'équipe tentait ce genre d'auto-assemblage, explique Heiko O. Jacobs à la revue BBC News mais sans résultat. Pour réussir, il a fallu l'idée de l'huile et de l'eau. En confinant les éléments à assembler sur une surface à deux dimensions, les pièces s'apparient sans rechigner...  
 
L'intérêt de la méthode est qu'elle est applicable à toutes sortes de support, du silicium, du plastique ou du métal. Elle pourrait être utile pour réaliser des écrans et des panneaux de cellules phovoltaïques. Le procédé, notamment, s'accommode bien d'éléments en silicium mono-cristallin, plus efficace mais plus difficile à traiter. On peut l'envisager aussi pour réaliser des circuits électroniques souples. La technique devra être largement améliorée et reste cantonnée au laboratoire. L'équipe doit tester le procédé pour déterminer jusqu'à quelle taille minimale des éléments il permet de descendre mais aussi quelle dimension maximale le produit fini pourra atteindre. Il faut aussi, semble-t-il, perfectionner les alignements des composants. Bref, il reste du travail...


Message édité par Zack38 le 19-01-2010 à 18:49:01
n°7294349
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 19-01-2010 à 11:26:15  profilanswer
 

Des nanocâbles utilisant la troisième dimension
 
Des chercheurs de l’Institut de Physique et Chimie des Matériaux de Strasbourg ont publié leurs recherches portant sur l’autoassemblage de structures tridimensionnelles composées de nanofils crées au sein de cristaux liquides nématiques.
ZoomCréer un chemin dans les cristaux liquides pour le remplir de silice
 
Ce système permet d’assembler plus de transistors et assurer la pérennité de la loi empirique de Moore. Pour cela, les nanofils s’auto-assemblent et se connectent à des électrodes avec une précision de quelques micromètres. Pour arriver à leurs fins, les scientifiques ont pris deux substrats qui seront connectés l’un à l’autre. L’espace entre les deux est rempli de cristaux liquides nématiques. La substance est similaire à ce que l’on trouve dans de nombreux LCD. On obtient donc une espèce de sandwich substrat — cristaux liquides — substrat.
 
Pour relier les deux substrats à un endroit précis, les chercheurs créent une ligne de disclinaison dans le cristal liquide. Pour faire simple, on peut dire qu’en perturbant les substrats à trois endroits différents, il est possible de créer avec précision une ligne de discontinuité dans la structure du cristal. Cette singularité topographique qui relie les deux substrats a la particularité d’attirer les particules de silices introduites préalablement dans le cristal liquide nématique. Lorsque suffisamment de particules ont rempli la ligne de disclinaison, on les joint entre elles en appliquant une tension électrique. Après quelques heures, l’ensemble des particules forme un fil conducteur de quelques nanomètres d’épaisseur.
Toujours plus de transistors
 
Les chercheurs français affirment qu’il n’y a pas d’autres méthodes pour assembler automatiquement des nanocâbles dans un modèle tridimensionnel. La prochaine étape est de fabriquer une série de microfils simultanément. Il faut aussi composer avec les limites physiques de ce procédé de fabrication qui demande un espace minimum entre les deux substrats. Néanmoins, les scientifiques pensent que ces résultats ouvrent la voie vers de nouvelles puces plus complexes et contenant toujours plus de transistors.
 
Ces recherches ont été publiées dans la revue Physics Review Letter, le 30 décembre dernier. source : http://www.presence-pc.com/actuali [...] ion-37941/ source : http://prl.aps.org/abstract/PRL/v103/i26/e267801


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7295770
Zack38
Posté le 20-01-2010 à 07:30:48  profilanswer
 

Et, concrètement, ça servira à quoi, ce genre de truc ?
 
Un CPU tridimensionnel ... ?

n°7297584
super_newb​ie_pro
A ta dispoition frère geek :P
Posté le 21-01-2010 à 01:57:22  profilanswer
 

bonne question... Sinon d'autres news :
***************
 
Dossier assez complet à lire à tête reposée :
 
CMOS Transitions to 22 and 15 nm http://www.semiconductor.net/artic [...] _15_nm.php
 
***************
 
TSMC commencera la production 28nm au Q4 2010 : http://www.xbitlabs.com/news/other [...] _2010.html


---------------
~ Camping thématique LA RESSOURCE sur l'autonomie ~
n°7299563
Zack38
Posté le 22-01-2010 à 14:10:18  profilanswer
 

AMD annonce un milliard de bénéfices, merci Intel...
 
________________________________________________________________________________________________________________________
 
AMD vient de publier ses résultats du quatrième trimestre 2009, la firme texane réalise des bénéfices du fait de l'accord passé avec Intel
 
AMD a publié hier ses résultats du quatrième trimestre 2009. La firme texane affiche un chiffre d'affaires de 1,646 milliards de dollars, et un bénéfice de 1,178 milliards. Sur toute l'année dernière, AMD a réalisé un chiffre d'affaires de 5,4 milliards, et un bénéfice de 304 millions. La majorité des bénéfices du trimestre passé viennent de l'accord avec Intel, cependant, AMD a fortement réduit ses dettes, de 1,4 milliards précisément, la société ne doit plus que 485 millions à ses créditeurs.
 
http://wd.ch-img.com/1106441-amd-wafer,bWF4LTI1MHgxNTc=.jpg
 
Les CPU ont générés un CA de 1,214 milliards de dollars ce trimestre, et les GPU, 427 millions de dollars. Avec la vente prochaine de ses parts de GlobalFoundries, AMD pourrait être bénéficiaire et libre de dettes le trimestre prochain ! Déficitaire depuis le rachat d'ATI ou presque, AMD aura mis presque quatre ans pour s'en remettre... Le processeur qui doit justifier cette opération, Fusion, est toujours aux abonnés absent, alors qu'Intel vient de lancer son premier CPU avec GPU intégré.
 
________________________________________________________________________________________________________________________
 
J'espère que les Fusion arriveront vite . Et surtout qu'ils seront plus performants que les Clarkdale d'Intel, surtout au niveau graphique .

n°7301605
Zack38
Posté le 24-01-2010 à 11:34:32  profilanswer
 

Nouveau record du monde d'overclocking CPU : 8199.5MHz
 
Vous devez vous dire à la lecture de cette fréquence que l'auteur du record doit être bien déçu de finir à un demi hertz de la barre symbolique des 8.2GHz. Eh bien c'est tout à fait le cas, d'autant plus que TiN avait dans un premier temps réussi à atteindre les 8203MHz, mais lors de la validation a eu droit à un "fichier corrompu". Il n'a ensuite pu obtenir donc que ce 8199.5MHz pour obtenir sa validation.
 
http://www.comptoir-hardware.com/images/stories/_overclocking/ln2_config.jpg
 
C'est tout de même le nouveau record du monde officiel à compter de ce week-end, et le Celeron 347 de TiN vole donc la vedette au Celeron 356 d'Andre Yang, qui détenait ce record depuis la fin octobre avec 8182.7MHz. La prochaine étape sera donc le seuil des 8200MHz pour de bon, et nous verrons s'il s'agira une fois de plus d'un "simple" Celeron !

n°7301612
saian78
Dyslexics have more fnu!
Posté le 24-01-2010 à 11:41:15  profilanswer
 

:hello:  
 
c'est quoi tous ce bordel  fais de scotch et sopalin ? :d

n°7301613
Zack38
Posté le 24-01-2010 à 11:42:44  profilanswer
 

C'est vrai qu'un matériel non-artisanal aurait plus la classe :whistle:

n°7301616
saian78
Dyslexics have more fnu!
Posté le 24-01-2010 à 11:45:52  profilanswer
 

 

certe ! mais c'est quoi  :whistle:


Message édité par saian78 le 24-01-2010 à 11:46:08
n°7301620
Zack38
Posté le 24-01-2010 à 11:49:04  profilanswer
 

Qu'est-ce qui est quoi ? Le truc blanc ? Ca, ça s'appelle un godet (recouvert d'un truc blanc isolant) ... :whistle:


Message édité par Zack38 le 24-01-2010 à 11:53:18
n°7301624
saian78
Dyslexics have more fnu!
Posté le 24-01-2010 à 11:53:13  profilanswer
 

faut te tirer les vers du nez, toi ce matin !  :D

 

autant je comprend le procédé  aircooling et watercolling autant je rencontre des termes du genre "en cascade" !

 

et je pense qu'il s'agit de celui ci dans ce cas precis  :??:

 

mais je comprend pas dutout en quoi sa consiste et j'avoue que sa m'intrigue  :pt1cable:


Message édité par saian78 le 24-01-2010 à 11:53:33
n°7301631
Zack38
Posté le 24-01-2010 à 11:59:45  profilanswer
 

En gros : tu places un espèce de tube fermé en bas sur le CPU . La fermeture du tube est évidemment très conductrice thermique . Et après on remplit le tube avec soit de l'azote liquide, soit de l'hélium liquide, soit de la neige carbonique ... et le truc blanc, c'est un matériau isolant qui a pour objectif d'isoler le tube de son environnement le plus possible .
Avec un tel truc, le CPU est refroidi à -100°C, -180°C, voire 260°C . Et dans ces conditions, il est possible de l'overclocker jusqu'à des fréquences inatteignables avec l'Aircooling ou le Watercooling ...

mood
Publicité
Posté le   profilanswer
 

 Page :   1  2  3  4  5  6  7  8  9  10  11  12  13  14  15  16  17  18

Aller à :
Ajouter une réponse
 

Sujets relatifs
News PC quelques questionPanne a8nsli deluxe (avis sur la situation)
ma news moboAvis - Conseils sur news Config...
news seagate 7200.10 250go qui a disparu du bios...[RCH/ACH]conseil achat news pc pour joueur
PC Horizontal /Vertical--> Marche / marche pas ..la suite[Topic unique] HD 3870x2
News configplate-forme STAFF IPX de AFFIXE Technologies
Plus de sujets relatifs à : News - Technologies, procédés, découvertes, actualité et situation


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR