Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
2980 connectés 

  FORUM HardWare.fr
  Programmation
  Divers

  [VHDL] Problème sous Quartus

 


 Mot :   Pseudo :  
 
Bas de page
Auteur Sujet :

[VHDL] Problème sous Quartus

n°1740662
Cemninho
Posté le 03-06-2008 à 10:02:31  profilanswer
 

Bonjour à tous,
 
Je suis un débutant en VHDL mais je ne pense pas que cela puisse expliquer le problème auquel je suis confronté. J'explique : je programme un compteur (voir code ci dessous). Le code est compilé sans problème mais impossible d'afficher les courbes de simulation. Je génère bien les waveforms avec Simulator Tool, puis je dessine l'allure des signaux d'entrée, et enfin j'enregistre pour recompiler en "Timing". Résultat : le signal de sortie reste à 0, et il n'y a rien que je puisse faire pour le rendre dépendant des signaux d'entrée. Quelqu'un aurait-il une solution ?
 
Le code :
 
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
 
entity compteur is
 port
 (
  clk : in std_logic;
  raz : in std_logic;
  ud : in std_logic;
  sortie : out integer range 0 to 255
 );
end compteur;
 
architecture arch of compteur is
 
signal compte : integer range 0 to 255;
 
begin
 process (clk,raz)
 begin
  if raz ='1' then compte <=0;
  elsif (clk'event and clk='1') then
   if ud='1' then compte <= compte + 1;
   else compte <= compte - 1;
   end if;
  end if;
 end process;
sortie <= compte;
end arch;
 
Merci beaucoup !

mood
Publicité
Posté le 03-06-2008 à 10:02:31  profilanswer
 


Aller à :
Ajouter une réponse
  FORUM HardWare.fr
  Programmation
  Divers

  [VHDL] Problème sous Quartus

 

Sujets relatifs
sql - autodidacte - probleme avec les dates[TRANSACT SQL]Problème division sql serveur
Problème while, if, else ...problème avec la commande cut
probleme allocation structureproblème pour faire une présentation de site
Problème connection basse de donnéesProblème fonction date à devenir fou
[VHDL] problème de simulation avec Quartus II 
Plus de sujets relatifs à : [VHDL] Problème sous Quartus


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR