Forum |  HardWare.fr | News | Articles | PC | S'identifier | S'inscrire | Shop Recherche
1937 connectés 

  FORUM HardWare.fr
  Programmation
  Divers

  Demande d'aide pour instaler l'environement d'un FPGA

 


 Mot :   Pseudo :  
 
Bas de page
Auteur Sujet :

Demande d'aide pour instaler l'environement d'un FPGA

n°2279179
rochazer
Posté le 08-04-2016 à 11:13:56  profilanswer
 

Bonjours,
 
Pour mon stage de fin d'étude je dois reprogrammer un FPGA (Kintex 7 XC7K410T) du USRP X310 pour créé un compteur permettant de commander l’acquisition des capteurs pour augmenter la vitesse de l'acquisition générale.
Pour le moment j'ai réalisé ces manip' : (c'est ma fiche compte rendu)
 

Citation :

Il faut suivre les instructions de la doc :  
 
1- Télécharger et installer le logiciel Xilinx Vivado 2015.4 Il faut bien récupérer la suite Vivado
« Design Suite - HLx Editions - Single File Download ».  
J’ai suivi les instructions, il est donc installé dans /opt/Xilinx. L’aide d’installation est utile, je l’ai utilisé pour bien installer ce qu’il faut.
 
2- Télécharger et installer GNU Make, prendre la dernière version car la 3.6 est trop vielle.
 
3- Télécharger GNU Bash, j’ai pris la version 4.0 mais je pense que la dernière version peut être
utilisé.
 
4- J’ai installé Doxygen car c’est assez simple, il suffit d’entrer la commande : «  sudo apt-get
install python bash build-essential doxygen »
 
5- Pour la construction d’instruction il faut tout d’abord télécharger le fichier source. Puis aller via la console dans usrp3/top/x300 et utiliser la commande « source setupenv.sh ». Entrer ensuite la commande « make ». Normalement les instructions se construisent. Moi ça ne fonctionne pas pour le moment


 
Mon problème c'est quand je lance le "make" il me commence la construction mais il me met plein de message WARNING ou CRITICAL WARNING.
 
Est ce que vous avez une idée de ce que je ne fait pas bien.
 
Voici les imprim' écran de la console
 
-1-
-2-
-3-
-4-
-5-
-6-
-7-
-8-
 
 
et en code :  

Code :
  1. rlecornec@open-cs-dell-r220:/opt/fpga-master/usrp3/top/x300$ make
  2. make -f Makefile.x300.inc bin NAME=X300_HGS ARCH=kintex7 PART_ID=xc7k325t/ffg900/-2 ETH10G_PORT1=1 BUILD_1G=1 BUILD_10G=1 NO_DRAM_FIFOS=1 SRAM_FIFO_SIZE=16  VERILOG_DEFS="ETH10G_PORT1=1 BUILD_1G=1 BUILD_10G=1 NO_DRAM_FIFOS=1 SRAM_FIFO_SIZE=16 "
  3. make[1]: entrant dans le répertoire « /opt/fpga-master/usrp3/top/x300 »
  4. BUILDER: Checking tools...
  5. * GNU bash, version 4.0.0(1)-release (x86_64-unknown-linux-gnu)
  6. * Python 2.7.6
  7. * Vivado v2015.4 (64-bit)
  8. ========================================================
  9. BUILDER: Building IP ten_gig_eth_pcs_pma
  10. ========================================================
  11. BUILDER: Staging IP in build directory...
  12. BUILDER: Reserving IP location: /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma
  13. BUILDER: Retargeting IP to part kintex7/xc7k325t/ffg900/-2...
  14. BUILDER: Building IP...
  15. ****** Vivado v2015.4 (64-bit)
  16.   **** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
  17.   **** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
  18.     ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
  19. source /opt/fpga-master/usrp3/tools/scripts/viv_generate_ip.tcl
  20. # set xci_file         $::env(XCI_FILE)               ;
  21. # set part_name        $::env(PART_NAME)              ;
  22. # set gen_example_proj $::env(GEN_EXAMPLE)            ;
  23. # set synth_ip         $::env(SYNTH_IP)               ;
  24. # set ip_name [file rootname [file tail $xci_file]]   ;
  25. # file delete -force "$xci_file.out"
  26. # create_project -part $part_name -in_memory -ip
  27. # set_property target_simulator XSim [current_project]
  28. # add_files -norecurse -force $xci_file
  29. INFO: [IP_Flow 19-234] Refreshing IP repositories
  30. INFO: [IP_Flow 19-1704] No user IP repositories specified
  31. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
  32. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp'. Please regenerate to continue.
  33. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v'. Please regenerate to continue.
  34. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl'. Please regenerate to continue.
  35. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl'. Please regenerate to continue.
  36. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v'. Please regenerate to continue.
  37. # reset_target all [get_files $xci_file]
  38. # puts "BUILDER: Generating IP Target..."
  39. BUILDER: Generating IP Target...
  40. # generate_target all [get_files $xci_file]
  41. INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'ten_gig_eth_pcs_pma'...
  42. INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'ten_gig_eth_pcs_pma'...
  43. WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
  44. WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
  45. INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'ten_gig_eth_pcs_pma'...
  46. WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
  47. WARNING: [IP_Flow 19-650] IP license key 'ten_gig_eth_pcs_pma_basekr@2015.04' is enabled with a Design_Linking license.
  48. INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'ten_gig_eth_pcs_pma'...
  49. # if [string match $synth_ip "1"] {
  50. #     puts "BUILDER: Synthesizing IP Target..."
  51. #     synth_ip [get_ips $ip_name]
  52. # }
  53. BUILDER: Synthesizing IP Target...
  54. INFO: [IP_Flow 19-234] Refreshing IP repositories
  55. INFO: [IP_Flow 19-1704] No user IP repositories specified
  56. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
  57. Command: synth_design -top ten_gig_eth_pcs_pma -part xc7k325tffg900-2 -mode out_of_context
  58. Starting synth_design
  59. Attempting to get a license for feature 'Synthesis' and/or device 'xc7k325t'
  60. WARNING: [Common 17-348] Failed to get the license for feature 'Synthesis' and/or device 'xc7k325t'
  61. 1 Infos, 1 Warnings, 0 Critical Warnings and 1 Errors encountered.
  62. synth_design failed
  63. ERROR: [Common 17-345] A valid license was not found for feature 'Synthesis' and/or device 'xc7k325t'. Please run the Vivado License Manager for assistance in determining
  64. which features and devices are licensed for your system.
  65. Resolution: Check the status of your licenses in the Vivado License Manager. For debug help search Xilinx Support for "Licensing FAQ".
  66. ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
  67. ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
  68. ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
  69. ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
  70. ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
  71. ERROR: [Common 17-53] User Exception: No open design. Please open an elaborated, synthesized or implemented design before executing this command.
  72. ERROR: [Vivado 12-398] No designs are open
  73. ****** Webtalk v2015.4 (64-bit)
  74.   **** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
  75.   **** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
  76.     ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
  77. source /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/.Xil/Vivado-19361-open-cs-dell-r220/webtalk/labtool_webtalk.tcl -notrace
  78. INFO: [Common 17-206] Exiting Webtalk at Fri Apr  8 12:11:30 2016...
  79. INFO: [Vivado 12-3441] generate_netlist_ip - operation complete
  80. # if [string match $gen_example_proj "1"] {
  81. #     puts "BUILDER: Generating Example Design..."
  82. #     open_example_project -force -dir . [get_ips $ip_name]
  83. # }
  84. BUILDER: Generating Example Design...
  85. INFO: [IP_Flow 19-1686] Generating 'Examples' target for IP 'ten_gig_eth_pcs_pma'...
  86. ****** Vivado v2015.4 (64-bit)
  87.   **** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
  88.   **** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
  89.     ** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
  90. source /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_ex.tcl
  91. # set srcIpDir "/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma"
  92. # create_project -name ten_gig_eth_pcs_pma_example -force
  93. # set_property part xc7k325tffg900-2 [current_project]
  94. # set_property target_language verilog [current_project]
  95. # set_property simulator_language MIXED [current_project]
  96. # set_property coreContainer.enable false [current_project]
  97. # set returnCode 0
  98. # import_ip -files [list [file join $srcIpDir ten_gig_eth_pcs_pma.xci]] -name ten_gig_eth_pcs_pma
  99. INFO: [IP_Flow 19-234] Refreshing IP repositories
  100. INFO: [IP_Flow 19-1704] No user IP repositories specified
  101. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
  102. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp'. Please regenerate to continue.
  103. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v'. Please regenerate to continue.
  104. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl'. Please regenerate to continue.
  105. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl'. Please regenerate to continue.
  106. WARNING: [IP_Flow 19-3664] IP 'ten_gig_eth_pcs_pma' generated file not found '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v'. Please regenerate to continue.
  107. CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.dcp'
  108. CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.v'
  109. CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_stub.vhdl'
  110. CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.vhdl'
  111. CRITICAL WARNING: [IP_Flow 19-4299] Failed to copy '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v' to '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.srcs/sources_1/ip/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma_sim_netlist.v'
  112. # reset_target {open_example} [get_ips ten_gig_eth_pcs_pma]
  113. # proc _filter_supported_targets {targets ip} {
  114. #   set res {}
  115. #   set all [get_property SUPPORTED_TARGETS $ip]
  116. #   foreach target $targets {
  117. #     lappend res {*}[lsearch -all -inline -nocase $all $target]
  118. #   }
  119. #   return $res
  120. # }
  121. # generate_target -quiet [_filter_supported_targets {instantiation_template synthesis simulation implementation shared_logic} [get_ips ten_gig_eth_pcs_pma]] [get_ips ten_gig_eth_pcs_pma]
  122. # add_files -scan_for_includes -quiet -fileset [current_fileset] \
  123. #   [list [file join $srcIpDir example_design/ten_gig_eth_pcs_pma_example_design.v]] \
  124. #   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_support.v]] \
  125. #   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_ff_synchronizer_rst2.v]] \
  126. #   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_shared_clock_and_reset.v]] \
  127. #   [list [file join $srcIpDir example_design/support/ten_gig_eth_pcs_pma_gt_common.v]]
  128. # add_files -quiet -fileset [current_fileset -constrset] \
  129. #   [list [file join $srcIpDir example_design/ten_gig_eth_pcs_pma_example_design.xdc]]
  130. # if { [catch {current_fileset -simset} exc] } { create_fileset -simset sim_1 }
  131. # add_files -quiet -scan_for_includes -fileset [current_fileset -simset] \
  132. #   [list [file join $srcIpDir simulation/demo_tb.v]]
  133. # set_property USED_IN_SYNTHESIS false [get_files [list [file join $srcIpDir simulation/demo_tb.v]]]
  134. # import_files
  135. INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'constrs_1'
  136. INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'sim_1'
  137. INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'sources_1'
  138. # set_property TOP [lindex [find_top] 0] [current_fileset]
  139. # update_compile_order -fileset [current_fileset]
  140. # update_compile_order -fileset [current_fileset -simset]
  141. # generate_target -quiet all [concat [ get_ips -quiet -filter scope=={} ] [get_files -quiet *bd ] ]
  142. # export_ip_user_files -force
  143. INFO: [exportsim-Tcl-35] Exporting simulation files for "XSIM" (Xilinx Vivado Simulator)...
  144. INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/xsim/ten_gig_eth_pcs_pma.sh'
  145. INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/xsim/configure_gt.tcl'
  146. INFO: [exportsim-Tcl-35] Exporting simulation files for "MODELSIM" (Mentor Graphics ModelSim Simulator)...
  147. INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/modelsim/ten_gig_eth_pcs_pma.sh'
  148. INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/modelsim/configure_gt.tcl'
  149. INFO: [exportsim-Tcl-35] Exporting simulation files for "QUESTA" (Mentor Graphics Questa Advanced Simulator)...
  150. INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/questa/ten_gig_eth_pcs_pma.sh'
  151. INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/questa/configure_gt.tcl'
  152. INFO: [exportsim-Tcl-35] Exporting simulation files for "IES" (Cadence Incisive Enterprise Simulator)...
  153. INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/ies/ten_gig_eth_pcs_pma.sh'
  154. INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/ies/configure_gt.tcl'
  155. INFO: [exportsim-Tcl-35] Exporting simulation files for "VCS" (Synopsys Verilog Compiler Simulator)...
  156. INFO: [exportsim-Tcl-29] Script generated: '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/vcs/ten_gig_eth_pcs_pma.sh'
  157. INFO: [exportsim-Tcl-25] Exported '/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma_example/ten_gig_eth_pcs_pma_example.ip_user_files/sim_scripts/ten_gig_eth_pcs_pma/vcs/configure_gt.tcl'
  158. # set dfile "/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/oepdone.txt"
  159. # set doneFile [open $dfile w]
  160. # puts $doneFile "Open Example Project DONE"
  161. # close $doneFile
  162. # if { $returnCode != 0 } {
  163. #   error "Problems were encountered while executing the example design script, please review the log files."
  164. # }
  165. INFO: [Common 17-206] Exiting Vivado at Fri Apr  8 12:11:37 2016...
  166. open_example_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1032.035 ; gain = 0.000 ; free physical = 5252 ; free virtual = 14753
  167. # close_project
  168. # if { [get_msg_config -count -severity ERROR] == 0 } {
  169. #     # Write output cookie file
  170. #     set outfile [open "$xci_file.out" w]
  171. #     puts $outfile "This file was auto-generated by viv_generate_ip.tcl and signifies that IP generation is done."
  172. #     close $outfile
  173. # } else {
  174. #     exit 1
  175. # }
  176. INFO: [Common 17-206] Exiting Vivado at Fri Apr  8 12:11:38 2016...
  177. BUILDER: Releasing IP location: /opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma
  178. make[1]: *** [/opt/fpga-master/usrp3/top/x300/build-ip/xc7k325tffg900-2/ten_gig_eth_pcs_pma/ten_gig_eth_pcs_pma.xci.out] Erreur 1
  179. make[1]: quittant le répertoire « /opt/fpga-master/usrp3/top/x300 »
  180. make: *** [X300_HGS] Erreur 2


 
Voilà si vous avez des idées ou des conseils je suis vraiment preneur.
 
Merci


Message édité par rochazer le 08-04-2016 à 12:18:37
mood
Publicité
Posté le 08-04-2016 à 11:13:56  profilanswer
 

n°2279199
bistouille
Posté le 08-04-2016 à 18:37:43  profilanswer
 

Problème de permission ?


---------------
On croit souvent avoir vu le fond de la stupidité humaine, et il parfois nécessaire qu'on vous rappelle qu'elle n'a pas de fond.

Aller à :
Ajouter une réponse
  FORUM HardWare.fr
  Programmation
  Divers

  Demande d'aide pour instaler l'environement d'un FPGA

 

Sujets relatifs
Aide pour exercice cAide sur un code Python
Aide SQL très simple[PHP] Besoin d'aide pour résoudre un message d'erreur
Aide, comment réaliser mon projet.besoin d'aide
Aide compréhension de logs apacheaide pour la decopmposition d'une image en plusieurs matrices?
[AIDE] Choix site tourné commercialaide avec un exercice pascal
Plus de sujets relatifs à : Demande d'aide pour instaler l'environement d'un FPGA


Copyright © 1997-2022 Hardware.fr SARL (Signaler un contenu illicite / Données personnelles) / Groupe LDLC / Shop HFR